Stress-driven 3D-IC placement with TSV keep-out zone and regularity study

  • Authors:
  • Krit Athikulwongse;Ashutosh Chakraborty;Jae-Seok Yang;David Z. Pan;Sung Kyu Lim

  • Affiliations:
  • Georgia Institute of Technology, Atlanta, Georgia;University of Texas at Austin, Austin, Texas;University of Texas at Austin, Austin, Texas;University of Texas at Austin, Austin, Texas;Georgia Institute of Technology, Atlanta, Georgia

  • Venue:
  • Proceedings of the International Conference on Computer-Aided Design
  • Year:
  • 2010

Quantified Score

Hi-index 0.02

Visualization

Abstract

Through-silicon via (TSV) fabrication causes tensile stress around TSVs which results in significant carrier mobility variation in the devices in their neighborhood. Keep-out zone (KOZ) is a conservative way to prevent any devices/cells from being impacted by the TSV-induced stress. However, owing to already large TSV size, large KOZ can significantly reduce the placement area available for cells, thus requiring larger dies which negate improvement in wirelength and timing due to 3D integration. In this paper, we study the impact of KOZ dimension on stress, carrier mobility variation, area, wirelength, and performance of 3D ICs. We demonstrate that, instead of requiring large KOZ, 3D-IC placers must exploit TSV stress-induced carrier mobility variation to improve the timing and area objectives during placement. We propose a new TSV stress-driven force-directed 3D placement that consistently provides placement result with, on average, 21.6% better worst negative slack (WNS) and 28.0% better total negative slack (TNS) than wirelength-driven placement.