Power Analysis Attack Resistance Engineering by Dynamic Voltage and Frequency Scaling

  • Authors:
  • Shengqi Yang;Pallav Gupta;Marilyn Wolf;Dimitrios Serpanos;Vijaykrishnan Narayanan;Yuan Xie

  • Affiliations:
  • Shanghai University;Villanova University;Georgia Institute of Technology;University of Patras and ISI/R. C. Athena;Pennsylvania State University;Pennsylvania State University

  • Venue:
  • ACM Transactions on Embedded Computing Systems (TECS)
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

This article proposes a novel approach to cryptosystem design to prevent power analysis attacks. Such attacks infer program behavior by continuously monitoring the power supply current going into the processor core. They form an important class of security attacks. Our approach is based on dynamic voltage and frequency scaling (DVFS), which hides processor state to make it harder for an attacker to gain access to a secure system. Three designs are studied to test the efficacy of the DVFS method against power analysis attacks. The advanced realization of our cryptosystem is presented which achieves enough high power and time trace entropies to block various kinds of power analysis attacks in the DES algorithm. We observed 27% energy reduction and 16% time overhead in these algorithms. Finally, DVFS hardness analysis is presented.