Differential cryptanalysis of the data encryption standard
Differential cryptanalysis of the data encryption standard
A method for obtaining digital signatures and public-key cryptosystems
Communications of the ACM
The First Experimental Cryptanalysis of the Data Encryption Standard
CRYPTO '94 Proceedings of the 14th Annual International Cryptology Conference on Advances in Cryptology
Timing Attacks on Implementations of Diffie-Hellman, RSA, DSS, and Other Systems
CRYPTO '96 Proceedings of the 16th Annual International Cryptology Conference on Advances in Cryptology
Differential Fault Analysis of Secret Key Cryptosystems
CRYPTO '97 Proceedings of the 17th Annual International Cryptology Conference on Advances in Cryptology
Low Cost Attacks on Tamper Resistant Devices
Proceedings of the 5th International Workshop on Security Protocols
Tamper resistance: a cautionary note
WOEC'96 Proceedings of the 2nd conference on Proceedings of the Second USENIX Workshop on Electronic Commerce - Volume 2
On the importance of checking cryptographic protocols for faults
EUROCRYPT'97 Proceedings of the 16th annual international conference on Theory and application of cryptographic techniques
ACISP '01 Proceedings of the 6th Australasian Conference on Information Security and Privacy
A New Elliptic Curve Scalar Multiplication Algorithm to Resist Simple Power Analysis
ACISP '02 Proceedings of the 7th Australian Conference on Information Security and Privacy
ACISP '02 Proceedings of the 7th Australian Conference on Information Security and Privacy
Precise Bounds for Montgomery Modular Multiplication and Some Potentially Insecure RSA Moduli
CT-RSA '02 Proceedings of the The Cryptographer's Track at the RSA Conference on Topics in Cryptology
Observability Analysis - Detecting When Improved Cryptosystems Fail
CT-RSA '02 Proceedings of the The Cryptographer's Track at the RSA Conference on Topics in Cryptology
MIST: An Efficient, Randomized Exponentiation Algorithm for Resisting Power Analysis
CT-RSA '02 Proceedings of the The Cryptographer's Track at the RSA Conference on Topics in Cryptology
Montgomery in Practice: How to Do It More Efficiently in Hardware
CT-RSA '02 Proceedings of the The Cryptographer's Track at the RSA Conference on Topics in Cryptology
Fast Elliptic Curve Multiplications with SIMD Operations
ICICS '02 Proceedings of the 4th International Conference on Information and Communications Security
A Countermeasure against One Physical Cryptanalysis May Benefit Another Attack
ICISC '01 Proceedings of the 4th International Conference Seoul on Information Security and Cryptology
ICISC '01 Proceedings of the 4th International Conference Seoul on Information Security and Cryptology
DPA Countermeasure Based on the "Masking Method"
ICISC '01 Proceedings of the 4th International Conference Seoul on Information Security and Cryptology
White-Box Cryptography and an AES Implementation
SAC '02 Revised Papers from the 9th Annual International Workshop on Selected Areas in Cryptography
Hamming Weight Attacks on Cryptographic Hardware - Breaking Masking Defense
ESORICS '02 Proceedings of the 7th European Symposium on Research in Computer Security
Towards Sound Approaches to Counteract Power-Analysis Attacks
CRYPTO '99 Proceedings of the 19th Annual International Cryptology Conference on Advances in Cryptology
ElectroMagnetic Analysis (EMA): Measures and Counter-Measures for Smart Cards
E-SMART '01 Proceedings of the International Conference on Research in Smart Cards: Smart Card Programming and Security
Power Analysis Breaks Elliptic Curve Cryptosystems even Secure against the Timing Attack
INDOCRYPT '00 Proceedings of the First International Conference on Progress in Cryptology
Improved Elliptic Curve Multiplication Methods Resistant against Side Channel Attacks
INDOCRYPT '02 Proceedings of the Third International Conference on Cryptology: Progress in Cryptology
Analysing Approximate Confinement under Uniform Attacks
SAS '02 Proceedings of the 9th International Symposium on Static Analysis
In How Many Ways Can You Write Rijndael?
ASIACRYPT '02 Proceedings of the 8th International Conference on the Theory and Application of Cryptology and Information Security: Advances in Cryptology
Informatics - 10 Years Back. 10 Years Ahead.
Aspects of Digital Rights Management and the Use of Hardware Security Devices
FC '01 Proceedings of the 5th International Conference on Financial Cryptography
FC '00 Proceedings of the 4th International Conference on Financial Cryptography
Securing the AES Finalists Against Power Analysis Attacks
FSE '00 Proceedings of the 7th International Workshop on Fast Software Encryption
NESSIE: A European Approach to Evaluate Cryptographic Algorithms
FSE '01 Revised Papers from the 8th International Workshop on Fast Software Encryption
Compression and Information Leakage of Plaintext
FSE '02 Revised Papers from the 9th International Workshop on Fast Software Encryption
Proceedings of the 8th IMA International Conference on Cryptography and Coding
Another Way of Doing RSA Cryptography in Hardware
Proceedings of the 8th IMA International Conference on Cryptography and Coding
Towards a Practical Secure Framework for Mobile Code Commerce
ISW '00 Proceedings of the Third International Workshop on Information Security
Securing Elliptic Curve Point Multiplication against Side-Channel Attacks
ISC '01 Proceedings of the 4th International Conference on Information Security
Efficient Implementation of Elliptic Curve Cryptosystems on an ARM7 with Hardware Accelerator
ISC '01 Proceedings of the 4th International Conference on Information Security
A Theoretical DPA-Based Cryptanalysis of the NESSIE Candidates FLASH and SFLASH
ISC '01 Proceedings of the 4th International Conference on Information Security
ISC '02 Proceedings of the 5th International Conference on Information Security
A Second-Order DPA Attack Breaks a Window-Method Based Countermeasure against Side Channel Attacks
ISC '02 Proceedings of the 5th International Conference on Information Security
Redundant Representation of Finite Fields
PKC '01 Proceedings of the 4th International Workshop on Practice and Theory in Public Key Cryptography: Public Key Cryptography
Efficient Asymmetric Self-Enforcement Scheme with Public Traceability
PKC '01 Proceedings of the 4th International Workshop on Practice and Theory in Public Key Cryptography: Public Key Cryptography
New European Schemes for Signature, Integrity and Encryption (NESSIE): A Status Report
PKC '02 Proceedings of the 5th International Workshop on Practice and Theory in Public Key Cryptosystems: Public Key Cryptography
A Combined Timing and Power Attack
PKC '02 Proceedings of the 5th International Workshop on Practice and Theory in Public Key Cryptosystems: Public Key Cryptography
A Fast Parallel Elliptic Curve Multiplication Resistant against Side Channel Attacks
PKC '02 Proceedings of the 5th International Workshop on Practice and Theory in Public Key Cryptosystems: Public Key Cryptography
Weierstraß Elliptic Curves and Side-Channel Attacks
PKC '02 Proceedings of the 5th International Workshop on Practice and Theory in Public Key Cryptosystems: Public Key Cryptography
Exceptional Procedure Attackon Elliptic Curve Cryptosystems
PKC '03 Proceedings of the 6th International Workshop on Theory and Practice in Public Key Cryptography: Public Key Cryptography
A Refined Power-Analysis Attack on Elliptic Curve Cryptosystems
PKC '03 Proceedings of the 6th International Workshop on Theory and Practice in Public Key Cryptography: Public Key Cryptography
On Boolean and Arithmetic Masking against Differential Power Analysis
CHES '00 Proceedings of the Second International Workshop on Cryptographic Hardware and Embedded Systems
Using Second-Order Power Analysis to Attack DPA Resistant Software
CHES '00 Proceedings of the Second International Workshop on Cryptographic Hardware and Embedded Systems
Montgomery Exponentiation with no Final Subtractions: Improved Results
CHES '00 Proceedings of the Second International Workshop on Cryptographic Hardware and Embedded Systems
Smartly Analyzing the Simplicity and the Power of Simple Power Analysis on Smartcards
CHES '00 Proceedings of the Second International Workshop on Cryptographic Hardware and Embedded Systems
Differential Power Analysis in the Presence of Hardware Countermeasures
CHES '00 Proceedings of the Second International Workshop on Cryptographic Hardware and Embedded Systems
CHES '00 Proceedings of the Second International Workshop on Cryptographic Hardware and Embedded Systems
Preventing SPA/DPA in ECC Systems Using the Jacobi Form
CHES '01 Proceedings of the Third International Workshop on Cryptographic Hardware and Embedded Systems
Universal Exponentiation Algorithm
CHES '01 Proceedings of the Third International Workshop on Cryptographic Hardware and Embedded Systems
Sliding Windows Succumbs to Big Mac Attack
CHES '01 Proceedings of the Third International Workshop on Cryptographic Hardware and Embedded Systems
An Implementation of DES and AES, Secure against Some Attacks
CHES '01 Proceedings of the Third International Workshop on Cryptographic Hardware and Embedded Systems
Randomized Addition-Subtraction Chains as a Countermeasure against Power Attacks
CHES '01 Proceedings of the Third International Workshop on Cryptographic Hardware and Embedded Systems
Hessian Elliptic Curves and Side-Channel Attacks
CHES '01 Proceedings of the Third International Workshop on Cryptographic Hardware and Embedded Systems
Protections against Differential Analysis for Elliptic Curve Cryptography
CHES '01 Proceedings of the Third International Workshop on Cryptographic Hardware and Embedded Systems
A Sound Method for Switching between Boolean and Arithmetic Masking
CHES '01 Proceedings of the Third International Workshop on Cryptographic Hardware and Embedded Systems
Random Register Renaming to Foil DPA
CHES '01 Proceedings of the Third International Workshop on Cryptographic Hardware and Embedded Systems
Electromagnetic Analysis: Concrete Results
CHES '01 Proceedings of the Third International Workshop on Cryptographic Hardware and Embedded Systems
Enhancing Simple Power-Analysis Attacks on Elliptic Curve Cryptosystems
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
Randomized Signed-Scalar Multiplication of ECC to Resist Power Attacks
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
Some Security Aspects of the M IST Randomized Exponentiation Algorithm
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
Preventing Differential Analysis in GLV Elliptic Curve Scalar Multiplication
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
The Montgomery Powering Ladder
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
Further Results and Considerations on Side Channel Attacks on RSA
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
A DPA Attack against the Modular Reduction within a CRT Implementation of RSA
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
Address-Bit Differential Power Analysis of Cryptographic Schemes OK-ECDH and OK-ECDSA
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
Multiplicative Masking and Power Analysis of AES
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
Optical Fault Induction Attacks
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
Simplified Adaptive Multiplicative Masking for AES
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
Secure Elliptic Curve Implementations: An Analysis of Resistance to Power-Attacks in a DSP Processor
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
Fault Attacks on RSA with CRT: Concrete Results and Practical Countermeasures
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
ICN '01 Proceedings of the First International Conference on Networking-Part 1
SPA-Based Adaptive Chosen-Ciphertext Attack on RSA Implementation
PKC '02 Proceedings of the 5th International Workshop on Practice and Theory in Public Key Cryptosystems: Public Key Cryptography
DPA Countermeasures by Improving the Window Method
CHES '02 Revised Papers from the 4th International Workshop on Cryptographic Hardware and Embedded Systems
An Investigation into the Security of Self-Timed Circuits
ASYNC '03 Proceedings of the 9th International Symposium on Asynchronous Circuits and Systems
A Fault-Injection Attack on Fiat-Shamir Cryptosystems
ICDCSW '04 Proceedings of the 24th International Conference on Distributed Computing Systems Workshops - W7: EC (ICDCSW'04) - Volume 7
Increased Information Flow Needs for High-Assurance Composite Evaluations
IWIA '04 Proceedings of the Second IEEE International Information Assurance Workshop (IWIA'04)
Memories: A Survey of Their Secure Uses in Smart Cards
SISW '03 Proceedings of the Second IEEE International Security in Storage Workshop
Towards an Intrusion Detection System for Battery Exhaustion Attacks on Mobile Computing Devices
PERCOMW '05 Proceedings of the Third IEEE International Conference on Pervasive Computing and Communications Workshops
IEEE Security and Privacy
Pipelined Computation of Scalar Multiplication in Elliptic Curve Cryptosystems (Extended Version)
IEEE Transactions on Computers
A Fault Attack on Pairing-Based Cryptography
IEEE Transactions on Computers
An RSA Implementation Resistant to Fault Attacks and to Simple Power Analysis
IEEE Transactions on Computers
Automatic code recognition for smart cards using a Kohonen neural network
CARDIS'02 Proceedings of the 5th conference on Smart Card Research and Advanced Application Conference - Volume 5
Computational Intelligence and Security
Protecting AES Software Implementations on 32-Bit Processors Against Power Analysis
ACNS '07 Proceedings of the 5th international conference on Applied Cryptography and Network Security
A First-Order DPA Attack Against AES in Counter Mode with Unknown Initial Counter
CHES '07 Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems
Gaussian Mixture Models for Higher-Order Side Channel Analysis
CHES '07 Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems
Side Channel Cryptanalysis of a Higher Order Masking Scheme
CHES '07 Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems
Evaluation of the Masked Logic Style MDPL on a Prototype Chip
CHES '07 Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems
DPA-Resistance Without Routing Constraints?
CHES '07 Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems
Highly Regular Right-to-Left Algorithms for Scalar Multiplication
CHES '07 Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems
MAME: A Compression Function with Reduced Hardware Requirements
CHES '07 Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems
Secret External Encodings Do Not Prevent Transient Fault Analysis
CHES '07 Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems
Two New Techniques of Side-Channel Cryptanalysis
CHES '07 Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems
Power Analysis Resistant AES Implementation with Instruction Set Extensions
CHES '07 Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems
Power and EM Attacks on Passive $13.56\,\textrm{MHz}$ RFID Devices
CHES '07 Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems
Differential Behavioral Analysis
CHES '07 Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems
Information Theoretic Evaluation of Side-Channel Resistant Logic Styles
CHES '07 Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems
On the Implementation of a Fast Prime Generation Algorithm
CHES '07 Proceedings of the 9th international workshop on Cryptographic Hardware and Embedded Systems
Physical Design of FPGA Interconnect to Prevent Information Leakage
ARC '08 Proceedings of the 4th international workshop on Reconfigurable Computing: Architectures, Tools and Applications
Fault Attacks on Public Key Elements: Application to DLP-Based Schemes
EuroPKI '08 Proceedings of the 5th European PKI workshop on Public Key Infrastructure: Theory and Practice
Block Ciphers Implementations Provably Secure Against Second Order Side Channel Analysis
Fast Software Encryption
Montgomery Residue Representation Fault-Tolerant Computation in GF(2k)
ACISP '08 Proceedings of the 13th Australasian conference on Information Security and Privacy
Coping with Outside-the-Box Attacks
CAV '08 Proceedings of the 20th international conference on Computer Aided Verification
Fast Point Multiplication on Elliptic Curves without Precomputation
WAIFI '08 Proceedings of the 2nd international workshop on Arithmetic of Finite Fields
Montgomery Ladder for All Genus 2 Curves in Characteristic 2
WAIFI '08 Proceedings of the 2nd international workshop on Arithmetic of Finite Fields
Attack and Improvement of a Secure S-Box Calculation Based on the Fourier Transform
CHES '08 Proceeding sof the 10th international workshop on Cryptographic Hardware and Embedded Systems
Collision-Based Power Analysis of Modular Exponentiation Using Chosen-Message Pairs
CHES '08 Proceeding sof the 10th international workshop on Cryptographic Hardware and Embedded Systems
Multiple-Differential Side-Channel Collision Attacks on AES
CHES '08 Proceeding sof the 10th international workshop on Cryptographic Hardware and Embedded Systems
The Carry Leakage on the Randomized Exponent Countermeasure
CHES '08 Proceeding sof the 10th international workshop on Cryptographic Hardware and Embedded Systems
Recovering Secret Keys from Weak Side Channel Traces of Differing Lengths
CHES '08 Proceeding sof the 10th international workshop on Cryptographic Hardware and Embedded Systems
Attacking State-of-the-Art Software Countermeasures--A Case Study for AES
CHES '08 Proceeding sof the 10th international workshop on Cryptographic Hardware and Embedded Systems
Power and Fault Analysis Resistance in Hardware through Dynamic Reconfiguration
CHES '08 Proceeding sof the 10th international workshop on Cryptographic Hardware and Embedded Systems
RFID and Its Vulnerability to Faults
CHES '08 Proceeding sof the 10th international workshop on Cryptographic Hardware and Embedded Systems
Divided Backend Duplication Methodology for Balanced Dual Rail Routing
CHES '08 Proceeding sof the 10th international workshop on Cryptographic Hardware and Embedded Systems
CHES '08 Proceeding sof the 10th international workshop on Cryptographic Hardware and Embedded Systems
CHES '08 Proceeding sof the 10th international workshop on Cryptographic Hardware and Embedded Systems
On the Power of Power Analysis in the Real World: A Complete Break of the KeeLoq Code Hopping Scheme
CRYPTO 2008 Proceedings of the 28th Annual conference on Cryptology: Advances in Cryptology
A Practical DPA Countermeasure with BDD Architecture
CARDIS '08 Proceedings of the 8th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Applications
SCARE of an Unknown Hardware Feistel Implementation
CARDIS '08 Proceedings of the 8th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Applications
Comparative Evaluation of Rank Correlation Based DPA on an AES Prototype Chip
ISC '08 Proceedings of the 11th international conference on Information Security
A New DPA Countermeasure Based on Permutation Tables
SCN '08 Proceedings of the 6th international conference on Security and Cryptography for Networks
Opportunities and Limits of Remote Timing Attacks
ACM Transactions on Information and System Security (TISSEC)
Formally Bounding the Side-Channel Leakage in Unknown-Message Attacks
ESORICS '08 Proceedings of the 13th European Symposium on Research in Computer Security: Computer Security
Side Channels in the McEliece PKC
PQCrypto '08 Proceedings of the 2nd International Workshop on Post-Quantum Cryptography
Unclonable Lightweight Authentication Scheme
ICICS '08 Proceedings of the 10th International Conference on Information and Communications Security
Method for Detecting Vulnerability to Doubling Attacks
ICICS '08 Proceedings of the 10th International Conference on Information and Communications Security
Algebraic Methods in Side-Channel Collision Attacks and Practical Collision Detection
INDOCRYPT '08 Proceedings of the 9th International Conference on Cryptology in India: Progress in Cryptology
Workload Characterization of a Lightweight SSL Implementation Resistant to Side-Channel Attacks
CANS '08 Proceedings of the 7th International Conference on Cryptology and Network Security
Differential Capacitance Analysis
Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation
Using Templates to Attack Masked Montgomery Ladder Implementations of Modular Exponentiation
Information Security Applications
Public Key Authentication with Memory Tokens
Information Security Applications
Information Security Applications
On Avoiding ZVP-Attacks Using Isogeny Volcanoes
Information Security Applications
Simultaneous Hardcore Bits and Cryptography against Memory Attacks
TCC '09 Proceedings of the 6th Theory of Cryptography Conference on Theory of Cryptography
Improved Partial Key Exposure Attacks on RSA by Guessing a Few Bits of One of the Prime Factors
Information Security and Cryptology --- ICISC 2008
Secure Hardware Implementation of Non-linear Functions in the Presence of Glitches
Information Security and Cryptology --- ICISC 2008
Novel PUF-Based Error Detection Methods in Finite State Machines
Information Security and Cryptology --- ICISC 2008
Information Security and Cryptology --- ICISC 2008
Transactions on Computational Science IV
Cryptography without (Hardly Any) Secrets ?
EUROCRYPT '09 Proceedings of the 28th Annual International Conference on Advances in Cryptology: the Theory and Applications of Cryptographic Techniques
A Unified Framework for the Analysis of Side-Channel Key Recovery Attacks
EUROCRYPT '09 Proceedings of the 28th Annual International Conference on Advances in Cryptology: the Theory and Applications of Cryptographic Techniques
A Leakage-Resilient Mode of Operation
EUROCRYPT '09 Proceedings of the 28th Annual International Conference on Advances in Cryptology: the Theory and Applications of Cryptographic Techniques
Fault Analysis Attack against an AES Prototype Chip Using RSL
CT-RSA '09 Proceedings of the The Cryptographers' Track at the RSA Conference 2009 on Topics in Cryptology
CT-RSA '09 Proceedings of the The Cryptographers' Track at the RSA Conference 2009 on Topics in Cryptology
Securing RSA against Fault Analysis by Double Addition Chain Exponentiation
CT-RSA '09 Proceedings of the The Cryptographers' Track at the RSA Conference 2009 on Topics in Cryptology
New Elliptic Curve Multi-scalar Multiplication Algorithm for a Pair of Integers to Resist SPA
Information Security and Cryptology
Theoretical and Practical Aspects of Mutual Information Based Side Channel Analysis
ACNS '09 Proceedings of the 7th International Conference on Applied Cryptography and Network Security
Attacking ECDSA-Enabled RFID Devices
ACNS '09 Proceedings of the 7th International Conference on Applied Cryptography and Network Security
Side-Channel Leakage in Masked Circuits Caused by Higher-Order Circuit Effects
ISA '09 Proceedings of the 3rd International Conference and Workshops on Advances in Information Security and Assurance
Exponent Recoding and Regular Exponentiation Algorithms
AFRICACRYPT '09 Proceedings of the 2nd International Conference on Cryptology in Africa: Progress in Cryptology
Breaking KeeLoq in a Flash: On Extracting Keys at Lightning Speed
AFRICACRYPT '09 Proceedings of the 2nd International Conference on Cryptology in Africa: Progress in Cryptology
Random Order m-ary Exponentiation
ACISP '09 Proceedings of the 14th Australasian Conference on Information Security and Privacy
ICCSA '09 Proceedings of the International Conference on Computational Science and Its Applications: Part II
Practical Electromagnetic Template Attack on HMAC
CHES '09 Proceedings of the 11th International Workshop on Cryptographic Hardware and Embedded Systems
Algebraic Side-Channel Attacks on the AES: Why Time also Matters in DPA
CHES '09 Proceedings of the 11th International Workshop on Cryptographic Hardware and Embedded Systems
CHES '09 Proceedings of the 11th International Workshop on Cryptographic Hardware and Embedded Systems
A New Side-Channel Attack on RSA Prime Generation
CHES '09 Proceedings of the 11th International Workshop on Cryptographic Hardware and Embedded Systems
Higher-Order Masking and Shuffling for Software Implementations of Block Ciphers
CHES '09 Proceedings of the 11th International Workshop on Cryptographic Hardware and Embedded Systems
A Design Methodology for a DPA-Resistant Cryptographic LSI with RSL Techniques
CHES '09 Proceedings of the 11th International Workshop on Cryptographic Hardware and Embedded Systems
A Design Flow and Evaluation Framework for DPA-Resistant Instruction Set Extensions
CHES '09 Proceedings of the 11th International Workshop on Cryptographic Hardware and Embedded Systems
Crypto Engineering: Some History and Some Case Studies
CHES '09 Proceedings of the 11th International Workshop on Cryptographic Hardware and Embedded Systems
Programmable and Parallel ECC Coprocessor Architecture: Tradeoffs between Area, Speed and Security
CHES '09 Proceedings of the 11th International Workshop on Cryptographic Hardware and Embedded Systems
Trojan Side-Channels: Lightweight Hardware Trojans through Side-Channel Engineering
CHES '09 Proceedings of the 11th International Workshop on Cryptographic Hardware and Embedded Systems
Mutual Information Analysis: How, When and Why?
CHES '09 Proceedings of the 11th International Workshop on Cryptographic Hardware and Embedded Systems
Differential Fault Analysis on DES Middle Rounds
CHES '09 Proceedings of the 11th International Workshop on Cryptographic Hardware and Embedded Systems
A Proposal of Efficient Remote Biometric Authentication Protocol
IWSEC '09 Proceedings of the 4th International Workshop on Security: Advances in Information and Computer Security
Enhancing of a Password-Based Authentication Scheme Using Smart Cards
OTM '09 Proceedings of the Confederated International Conferences, CoopIS, DOA, IS, and ODBASE 2009 on On the Move to Meaningful Internet Systems: Part II
On Some Weaknesses in the Disk Encryption Schemes EME and EME2
ICISS '09 Proceedings of the 5th International Conference on Information Systems Security
ASIACRYPT '09 Proceedings of the 15th International Conference on the Theory and Application of Cryptology and Information Security: Advances in Cryptology
Memory Leakage-Resilient Encryption Based on Physically Unclonable Functions
ASIACRYPT '09 Proceedings of the 15th International Conference on the Theory and Application of Cryptology and Information Security: Advances in Cryptology
Signature Schemes with Bounded Leakage Resilience
ASIACRYPT '09 Proceedings of the 15th International Conference on the Theory and Application of Cryptology and Information Security: Advances in Cryptology
Optimal Recovery of Secret Keys from Weak Side Channel Traces
Cryptography and Coding '09 Proceedings of the 12th IMA International Conference on Cryptography and Coding
Application Management Framework in User Centric Smart Card Ownership Model
Information Security Applications
Mechanism behind Information Leakage in Electromagnetic Analysis of Cryptographic Modules
Information Security Applications
EM Side-Channel Attacks on Commercial Contactless Smartcards Using Low-Cost Equipment
Information Security Applications
Unknown Plaintext Template Attacks
Information Security Applications
On Comparing Side-Channel Preprocessing Techniques for Attacking RFID Devices
Information Security Applications
You Cannot Hide behind the Mask: Power Analysis on a Provably Secure S-Box Implementation
Information Security Applications
A Comparative Study of Mutual Information Analysis under a Gaussian Assumption
Information Security Applications
New fault attacks using Jacobi symbol and application to regular right-to-left algorithms
Information Processing Letters
On the Duality of Probing and Fault Attacks
Journal of Electronic Testing: Theory and Applications
Improving first order differential power attacks through digital signal processing
Proceedings of the 3rd international conference on Security of information and networks
A GALS pipeline DES architecture to increase robustness against DPA and DEMA attacks
SBCCI '10 Proceedings of the 23rd symposium on Integrated circuits and system design
Evaluation of Random Delay Insertion against DPA on FPGAs
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
Practical leakage-resilient pseudorandom generators
Proceedings of the 17th ACM conference on Computer and communications security
Practical leakage-resilient identity-based encryption from simple assumptions
Proceedings of the 17th ACM conference on Computer and communications security
Far correlation-based EMA with a precharacterized leakage model
Proceedings of the Conference on Design, Automation and Test in Europe
Improved countermeasure against address-bit DPA for ECC scalar multiplication
Proceedings of the Conference on Design, Automation and Test in Europe
Power variance analysis breaks a masked ASIC implementation of AES
Proceedings of the Conference on Design, Automation and Test in Europe
Novel physical unclonable function with process and environmental variations
Proceedings of the Conference on Design, Automation and Test in Europe
Differential power analysis enhancement with statistical preprocessing
Proceedings of the Conference on Design, Automation and Test in Europe
Hardware trust implications of 3-D integration
WESS '10 Proceedings of the 5th Workshop on Embedded Systems Security
PoliMakE: a policy making engine for secure embedded software execution on chip-multiprocessors
WESS '10 Proceedings of the 5th Workshop on Embedded Systems Security
A new correlation frequency analysis of the side channel
WESS '10 Proceedings of the 5th Workshop on Embedded Systems Security
WESS '10 Proceedings of the 5th Workshop on Embedded Systems Security
Countering early evaluation: an approach towards robust dual-rail precharge logic
WESS '10 Proceedings of the 5th Workshop on Embedded Systems Security
A new CRT-RSA algorithm resistant to powerful fault attacks
WESS '10 Proceedings of the 5th Workshop on Embedded Systems Security
Improving the quality of ring oscillator PUFs on FPGAs
WESS '10 Proceedings of the 5th Workshop on Embedded Systems Security
Enhancing correlation electromagnetic attack using planar near-field cartography
Proceedings of the Conference on Design, Automation and Test in Europe
Evaluation on FPGA of triple rail logic robustness against DPA and DEMA
Proceedings of the Conference on Design, Automation and Test in Europe
Successful attack on an FPGA-based WDDL DES cryptoprocessor without place and route constraints
Proceedings of the Conference on Design, Automation and Test in Europe
Security analysis of 'two-factor user authentication in wireless sensor networks'
AST/UCMA/ISA/ACN'10 Proceedings of the 2010 international conference on Advances in computer science and information technology
Implementing virtual secure circuit using a custom-instruction approach
CASES '10 Proceedings of the 2010 international conference on Compilers, architectures and synthesis for embedded systems
Survey: leakage resilience and the bounded retrieval model
ICITS'09 Proceedings of the 4th international conference on Information theoretic security
Leakage-resilient pseudorandom functions and side-channel attacks on Feistel networks
CRYPTO'10 Proceedings of the 30th annual conference on Advances in cryptology
Securing computation against continuous leakage
CRYPTO'10 Proceedings of the 30th annual conference on Advances in cryptology
Co-Z addition formulæ and binary ladders on elliptic curves
CHES'10 Proceedings of the 12th international conference on Cryptographic hardware and embedded systems
Correlation-enhanced power analysis collision attack
CHES'10 Proceedings of the 12th international conference on Cryptographic hardware and embedded systems
Side-channel analysis of six SHA-3 candidates
CHES'10 Proceedings of the 12th international conference on Cryptographic hardware and embedded systems
Flash memory 'bumping' attacks
CHES'10 Proceedings of the 12th international conference on Cryptographic hardware and embedded systems
When failure analysis meets side-channel attacks
CHES'10 Proceedings of the 12th international conference on Cryptographic hardware and embedded systems
Garbled circuits for leakage-resilience: hardware implementation and evaluation of one-time programs
CHES'10 Proceedings of the 12th international conference on Cryptographic hardware and embedded systems
Provably secure higher-order masking of AES
CHES'10 Proceedings of the 12th international conference on Cryptographic hardware and embedded systems
Algebraic side-channel analysis in the presence of errors
CHES'10 Proceedings of the 12th international conference on Cryptographic hardware and embedded systems
Coordinate blinding over large prime fields
CHES'10 Proceedings of the 12th international conference on Cryptographic hardware and embedded systems
Power analysis of single-rail storage elements as used in MDPL
ICISC'09 Proceedings of the 12th international conference on Information security and cryptology
A timing attack against patterson algorithm in the McEliece PKC
ICISC'09 Proceedings of the 12th international conference on Information security and cryptology
Side-channel analysis of cryptographic software via early-terminating multiplications
ICISC'09 Proceedings of the 12th international conference on Information security and cryptology
Combined implementation attack resistant exponentiation
LATINCRYPT'10 Proceedings of the First international conference on Progress in cryptology: cryptology and information security in Latin America
Differential fault analysis of LEX
SCN'10 Proceedings of the 7th international conference on Security and cryptography for networks
Algorithmic tamper-proof security under probing attacks
SCN'10 Proceedings of the 7th international conference on Security and cryptography for networks
SCN'10 Proceedings of the 7th international conference on Security and cryptography for networks
A general power model of differential power analysis attacks to static logic circuits
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Leakage power analysis attacks: a novel class of attacks to nanometer cryptographic circuits
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Principles on the security of AES against first and second-order differential power analysis
ACNS'10 Proceedings of the 8th international conference on Applied cryptography and network security
Adaptive chosen-message side-channel attacks
ACNS'10 Proceedings of the 8th international conference on Applied cryptography and network security
Secure multiplicative masking of power functions
ACNS'10 Proceedings of the 8th international conference on Applied cryptography and network security
Lightweight cryptography and DPA countermeasures: a survey
FC'10 Proceedings of the 14th international conference on Financial cryptograpy and data security
Reconfigurable memory based AES co-processor
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Strengthening forensic investigations of child pornography on P2P networks
Proceedings of the 6th International COnference
The effectiveness of a current flattening circuit as countermeasure against DPA attacks
Microelectronics Journal
Side-channel analysis of the K2 stream cipher
ACISP'10 Proceedings of the 15th Australasian conference on Information security and privacy
Authenticated broadcast with a partially compromised public-key infrastructure
SSS'10 Proceedings of the 12th international conference on Stabilization, safety, and security of distributed systems
Mutual information analysis under the view of higher-order statistics
IWSEC'10 Proceedings of the 5th international conference on Advances in information and computer security
An exquisite authentication scheme with key agreement preserving user anonymity
WISM'10 Proceedings of the 2010 international conference on Web information systems and mining
Robust authentication and key agreement scheme preserving the privacy of secret key
Computer Communications
Robust one-time password authentication scheme using smart card for home network environment
Computer Communications
A strong user authentication scheme with smart cards for wireless communications
Computer Communications
Securing the data path of next-generation router systems
Computer Communications
Theoretical and practical aspects of mutual information-based side channel analysis
International Journal of Applied Cryptography
A secure dynamic identity based authentication protocol for multi-server architecture
Journal of Network and Computer Applications
Design research of the DES against power analysis attacks based on FPGA
Microprocessors & Microsystems
Weaknesses of a dynamic ID-based remote user authentication scheme
International Journal of Electronic Security and Digital Forensics
Horizontal correlation analysis on exponentiation
ICICS'10 Proceedings of the 12th international conference on Information and communications security
On practical second-order power analysis attacks for block ciphers
ICICS'10 Proceedings of the 12th international conference on Information and communications security
On side-channel resistant block cipher usage
ISC'10 Proceedings of the 13th international conference on Information security
Security implications of crosstalk in switching CMOS gates
ISC'10 Proceedings of the 13th international conference on Information security
WISA'10 Proceedings of the 11th international conference on Information security applications
Correlation power analysis based on switching glitch model
WISA'10 Proceedings of the 11th international conference on Information security applications
Improved trace-driven cache-collision attacks against embedded AES implementations
WISA'10 Proceedings of the 11th international conference on Information security applications
Combination of SW countermeasure and CPU modification on FPGA against power analysis
WISA'10 Proceedings of the 11th international conference on Information security applications
Algebraic side-channel attacks
Inscrypt'09 Proceedings of the 5th international conference on Information security and cryptology
PATMOS'10 Proceedings of the 20th international conference on Integrated circuit and system design: power and timing modeling, optimization and simulation
Exploration of FPGA interconnect for the design of unconventional antennas
Proceedings of the 19th ACM/SIGDA international symposium on Field programmable gate arrays
Implementation and testing of high-speed CMOS true random number generators based on chaotic systems
IEEE Transactions on Circuits and Systems Part I: Regular Papers - Special section on 2009 IEEE system-on-chip conference
A table masking countermeasure for low-energy secure embedded systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Improving DPA by peak distribution analysis
SAC'10 Proceedings of the 17th international conference on Selected areas in cryptography
Affine masking against higher-order side channel analysis
SAC'10 Proceedings of the 17th international conference on Selected areas in cryptography
Defeating RSA multiply-always and message blinding countermeasures
CT-RSA'11 Proceedings of the 11th international conference on Topics in cryptology: CT-RSA 2011
Improving differential power analysis by elastic alignment
CT-RSA'11 Proceedings of the 11th international conference on Topics in cryptology: CT-RSA 2011
CT-RSA'11 Proceedings of the 11th international conference on Topics in cryptology: CT-RSA 2011
An efficient mobile PACE implementation
Proceedings of the 6th ACM Symposium on Information, Computer and Communications Security
Extended cubes: enhancing the cube attack by extracting low-degree non-linear equations
Proceedings of the 6th ACM Symposium on Information, Computer and Communications Security
Automatically deriving information-theoretic bounds for adaptive side-channel attacks
Journal of Computer Security
Exploiting dual-output programmable blocks to balance secure dual-rail logics
International Journal of Reconfigurable Computing - Special issue on selected papers from ReconFig 2009 International conference on reconfigurable computing and FPGAs (ReconFig 2009)
Building a side channel based disassembler
Transactions on computational science X
A versatile framework for implementation attacks on cryptographic RFIDs and embedded devices
Transactions on computational science X
Transactions on computational science X
Asymptotic information leakage under one-try attacks
FOSSACS'11/ETAPS'11 Proceedings of the 14th international conference on Foundations of software science and computational structures: part of the joint European conferences on theory and practice of software
Parallel repetition for leakage resilience amplification revisited
TCC'11 Proceedings of the 8th conference on Theory of cryptography
Achieving leakage resilience through dual system encryption
TCC'11 Proceedings of the 8th conference on Theory of cryptography
Signatures resilient to continual leakage on memory and computation
TCC'11 Proceedings of the 8th conference on Theory of cryptography
A correlation power analysis attack against tate pairing on FPGA
ARC'11 Proceedings of the 7th international conference on Reconfigurable computing: architectures, tools and applications
Location-based authentication protocol for first cognitive radio networking standard
Journal of Network and Computer Applications
Power analysis attack and countermeasure on the Rabbit Stream Cipher (position paper)
Proceedings of the 7th International Workshop on Software Engineering for Secure Systems
Caisson: a hardware description language for secure information flow
Proceedings of the 32nd ACM SIGPLAN conference on Programming language design and implementation
Proceedings of the forty-third annual ACM symposium on Theory of computing
Balanced Secure Scan: Partial Scan Approach for Secret Information Protection
Journal of Electronic Testing: Theory and Applications
FinFET-Based Power Management for Improved DPA Resistance with Low Overhead
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Pushing the limits: a very compact and a threshold implementation of AES
EUROCRYPT'11 Proceedings of the 30th Annual international conference on Theory and applications of cryptographic techniques: advances in cryptology
A formal study of power variability issues and side-channel attacks for nanoscale devices
EUROCRYPT'11 Proceedings of the 30th Annual international conference on Theory and applications of cryptographic techniques: advances in cryptology
Domain extension for MACs beyond the birthday barrier
EUROCRYPT'11 Proceedings of the 30th Annual international conference on Theory and applications of cryptographic techniques: advances in cryptology
How to characterize side-channel leakages more accurately?
ISPEC'11 Proceedings of the 7th international conference on Information security practice and experience
An efficient CRT-RSA algorithm secure against power and fault attacks
Journal of Systems and Software
Can code polymorphism limit information leakage?
WISTP'11 Proceedings of the 5th IFIP WG 11.2 international conference on Information security theory and practice: security and privacy of mobile devices in wireless communication
Information leakage discovery techniques to enhance secure chip design
WISTP'11 Proceedings of the 5th IFIP WG 11.2 international conference on Information security theory and practice: security and privacy of mobile devices in wireless communication
A cryptographic processor for low-resource devices: canning ECDSA and AES like sardines
WISTP'11 Proceedings of the 5th IFIP WG 11.2 international conference on Information security theory and practice: security and privacy of mobile devices in wireless communication
An evaluation of hash functions on a power analysis resistant processor architecture
WISTP'11 Proceedings of the 5th IFIP WG 11.2 international conference on Information security theory and practice: security and privacy of mobile devices in wireless communication
Leakage squeezing countermeasure against high-order attacks
WISTP'11 Proceedings of the 5th IFIP WG 11.2 international conference on Information security theory and practice: security and privacy of mobile devices in wireless communication
FIRE: fault injection for reverse engineering
WISTP'11 Proceedings of the 5th IFIP WG 11.2 international conference on Information security theory and practice: security and privacy of mobile devices in wireless communication
Side-channel analysis of PUFs and fuzzy extractors
TRUST'11 Proceedings of the 4th international conference on Trust and trustworthy computing
A first step towards automatic application of power analysis countermeasures
Proceedings of the 48th Design Automation Conference
Complexity and the challenges of securing SoCs
Proceedings of the 48th Design Automation Conference
Power-gated MOS current mode logic (PG-MCML): a power aware DPA-resistant standard cell library
Proceedings of the 48th Design Automation Conference
Exponent blinding does not always lift (partial) spa resistance to higher-level security
ACNS'11 Proceedings of the 9th international conference on Applied cryptography and network security
Memory-constrained implementations of elliptic curve cryptography in co-Z coordinate representation
AFRICACRYPT'11 Proceedings of the 4th international conference on Progress in cryptology in Africa
Cryptanalysis to a remote user authentication scheme using smart cards for multi-server environment
HI'11 Proceedings of the 2011 international conference on Human interface and the management of information - Volume Part I
Effective digital forensics research is investigator-centric
HotSec'11 Proceedings of the 6th USENIX conference on Hot topics in security
Differential privacy under fire
SEC'11 Proceedings of the 20th USENIX conference on Security
AUTHHOTP- HOTP based authentication scheme over home network environment
ICCSA'11 Proceedings of the 2011 international conference on Computational science and its applications - Volume Part III
A robust remote user authentication scheme against smart card security breach
DBSec'11 Proceedings of the 25th annual IFIP WG 11.3 conference on Data and applications security and privacy
A new leakage-resilient IBE scheme in the relative leakage model
DBSec'11 Proceedings of the 25th annual IFIP WG 11.3 conference on Data and applications security and privacy
Efficient online/offline signatures with computational leakage resilience in online phase
Inscrypt'10 Proceedings of the 6th international conference on Information security and cryptology
A comprehensive evaluation of mutual information analysis using a fair evaluation framework
CRYPTO'11 Proceedings of the 31st annual conference on Advances in cryptology
Key-evolution schemes resilient to space-bounded leakage
CRYPTO'11 Proceedings of the 31st annual conference on Advances in cryptology
Generic side-channel distinguishers: improvements and limitations
CRYPTO'11 Proceedings of the 31st annual conference on Advances in cryptology
Cryptography with tamperable and leaky memory
CRYPTO'11 Proceedings of the 31st annual conference on Advances in cryptology
Analysis of nonparametric estimation methods for mutual information analysis
ICISC'10 Proceedings of the 13th international conference on Information security and cryptology
Fault attacks on the montgomery powering ladder
ICISC'10 Proceedings of the 13th international conference on Information security and cryptology
First principal components analysis: a new side channel distinguisher
ICISC'10 Proceedings of the 13th international conference on Information security and cryptology
ProvSec'11 Proceedings of the 5th international conference on Provable security
Power fingerprinting in SDR integrity assessment for security and regulatory compliance
Analog Integrated Circuits and Signal Processing
Generic side-channel countermeasures for reconfigurable devices
CHES'11 Proceedings of the 13th international conference on Cryptographic hardware and embedded systems
Improved collision-correlation power analysis on first order protected AES
CHES'11 Proceedings of the 13th international conference on Cryptographic hardware and embedded systems
Protecting AES with Shamir's secret sharing scheme
CHES'11 Proceedings of the 13th international conference on Cryptographic hardware and embedded systems
A fast and provably secure higher-order masking of AES S-box
CHES'11 Proceedings of the 13th international conference on Cryptographic hardware and embedded systems
To infinity and beyond: combined attack on ECC using points of low order
CHES'11 Proceedings of the 13th international conference on Cryptographic hardware and embedded systems
Breaking mifare DESFire MF3ICD40: power analysis and templates in the real world
CHES'11 Proceedings of the 13th international conference on Cryptographic hardware and embedded systems
Information theoretic and security analysis of a 65-nanometer DDSLL AES S-box
CHES'11 Proceedings of the 13th international conference on Cryptographic hardware and embedded systems
On the power of fault sensitivity analysis and collision side-channel attacks in a combined setting
CHES'11 Proceedings of the 13th international conference on Cryptographic hardware and embedded systems
Lightweight and secure PUF key storage using limits of machine learning
CHES'11 Proceedings of the 13th international conference on Cryptographic hardware and embedded systems
MECCA: a robust low-overhead PUF using embedded memory array
CHES'11 Proceedings of the 13th international conference on Cryptographic hardware and embedded systems
Cryptanalysis of nonce-based mutual authentication scheme using smart cards
ICHIT'11 Proceedings of the 5th international conference on Convergence and hybrid information technology
A secure D Flip-Flop against side channel attacks
PATMOS'11 Proceedings of the 21st international conference on Integrated circuit and system design: power and timing modeling, optimization, and simulation
Traitor tracing schemes for protected software implementations
Proceedings of the 11th annual ACM workshop on Digital rights management
Digital identity security architecture in Ethos
Proceedings of the 7th ACM workshop on Digital identity management
Proceedings of the 18th ACM conference on Computer and communications security
Televisions, video privacy, and powerline electromagnetic interference
Proceedings of the 18th ACM conference on Computer and communications security
Wireless Personal Communications: An International Journal
Security of prime field pairing cryptoprocessor against differential power attack
InfoSecHiComNet'11 Proceedings of the First international conference on Security aspects in information technology
"Rank correction": a new side-channel approach for secret key recovery
InfoSecHiComNet'11 Proceedings of the First international conference on Security aspects in information technology
Efficient remote user authentication scheme using smart cards
International Journal of Internet Technology and Secured Transactions
Design and characterisation of an AES chip embedding countermeasures
International Journal of Intelligent Engineering Informatics
Inscrypt'06 Proceedings of the Second SKLOIS conference on Information Security and Cryptology
On XTR and side-channel analysis
SAC'04 Proceedings of the 11th international conference on Selected Areas in Cryptography
Provably secure masking of AES
SAC'04 Proceedings of the 11th international conference on Selected Areas in Cryptography
Side channel analysis of practical pairing implementations: which path is more secure?
VIETCRYPT'06 Proceedings of the First international conference on Cryptology in Vietnam
An architecture-independent instruction shuffler to protect against side-channel attacks
ACM Transactions on Architecture and Code Optimization (TACO) - HIPEAC Papers
Compiler mitigations for time attacks on modern x86 processors
ACM Transactions on Architecture and Code Optimization (TACO) - HIPEAC Papers
Galois LFSR, embedded devices and side channel weaknesses
INDOCRYPT'06 Proceedings of the 7th international conference on Cryptology in India
Proceedings of the 3rd Innovations in Theoretical Computer Science Conference
A wireless covert channel on smart cards (short paper)
ICICS'06 Proceedings of the 8th international conference on Information and Communications Security
Threshold implementations against side-channel attacks and glitches
ICICS'06 Proceedings of the 8th international conference on Information and Communications Security
Generic cryptanalysis of combined countermeasures with randomized BSD representations
CARDIS'06 Proceedings of the 7th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Applications
Amplifying side-channel attacks with techniques from block cipher cryptanalysis
CARDIS'06 Proceedings of the 7th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Applications
Power analysis to ECC using differential power between multiplication and squaring
CARDIS'06 Proceedings of the 7th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Applications
Quantifying information leakage in process calculi
ICALP'06 Proceedings of the 33rd international conference on Automata, Languages and Programming - Volume Part II
Efficient and secure elliptic curve point multiplication using double-base chains
ASIACRYPT'05 Proceedings of the 11th international conference on Theory and Application of Cryptology and Information Security
Mycrypt'05 Proceedings of the 1st international conference on Progress in Cryptology in Malaysia
Side channel attacks on message authentication codes
ESAS'05 Proceedings of the Second European conference on Security and Privacy in Ad-Hoc and Sensor Networks
SPA-resistant simultaneous scalar multiplication
ICCSA'05 Proceedings of the 2005 international conference on Computational Science and Its Applications - Volume Part II
A side-channel analysis resistant description of the AES s-box
FSE'05 Proceedings of the 12th international conference on Fast Software Encryption
FSE'05 Proceedings of the 12th international conference on Fast Software Encryption
Differential power analysis on block cipher ARIA
HPCC'05 Proceedings of the First international conference on High Performance Computing and Communications
Templates vs. stochastic methods
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
Towards security limits in side-channel attacks
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
Optically enhanced position-locked power analysis
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
Pinpointing the side-channel leakage of masked AES hardware implementations
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
A proposition for correlation power analysis enhancement
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
High-resolution side-channel attack using phase-based waveform matching
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
Cache-collision timing attacks against AES
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
Provably secure s-box implementation based on fourier transform
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
Three-phase dual-rail pre-charge logic
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
Dual-rail random switching logic: a countermeasure to reduce side channel leakage
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
Security evaluation of DPA countermeasures using dual-rail pre-charge logic style
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
Why one should also secure RSA public key elements
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
Unified point addition formulæ and side-channel attacks
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
Read-proof hardware from protective coatings
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
Path swapping method to improve DPA resistance of quasi delay insensitive asynchronous circuits
CHES'06 Proceedings of the 8th international conference on Cryptographic Hardware and Embedded Systems
Enhanced DES implementation secure against high-order differential power analysis in smartcards
ACISP'05 Proceedings of the 10th Australasian conference on Information Security and Privacy
Improved zero value attack on XTR
ACISP'05 Proceedings of the 10th Australasian conference on Information Security and Privacy
Efficient representations on koblitz curves with resistance to side channel attacks
ACISP'05 Proceedings of the 10th Australasian conference on Information Security and Privacy
Energy-Privacy trade-offs in VLSI computations
INDOCRYPT'05 Proceedings of the 6th international conference on Cryptology in India
Efficient simultaneous inversion in parallel and application to point multiplication in ECC
CISC'05 Proceedings of the First SKLOIS conference on Information Security and Cryptology
Collision attack on XTR and a countermeasure with a fixed pattern
EUC'05 Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing
Practical second-order DPA attacks for masked smart card implementations of block ciphers
CT-RSA'06 Proceedings of the 2006 The Cryptographers' Track at the RSA conference on Topics in Cryptology
Higher order masking of the AES
CT-RSA'06 Proceedings of the 2006 The Cryptographers' Track at the RSA conference on Topics in Cryptology
Toward a broader view of security protocols
SP'04 Proceedings of the 12th international conference on Security Protocols
Multimedia Tools and Applications
Differential power analysis: a serious threat for FPGA security
International Journal of Internet Technology and Secured Transactions
Attacking right-to-left modular exponentiation with timely random faults
FDTC'06 Proceedings of the Third international conference on Fault Diagnosis and Tolerance in Cryptography
Blinded fault resistant exponentiation
FDTC'06 Proceedings of the Third international conference on Fault Diagnosis and Tolerance in Cryptography
Fault based collision attacks on AES
FDTC'06 Proceedings of the Third international conference on Fault Diagnosis and Tolerance in Cryptography
Fault analysis of DPA-Resistant algorithms
FDTC'06 Proceedings of the Third international conference on Fault Diagnosis and Tolerance in Cryptography
Secure dynamic identity-based remote user authentication scheme
ICDCIT'10 Proceedings of the 6th international conference on Distributed Computing and Internet Technology
TCC'10 Proceedings of the 7th international conference on Theory of Cryptography
Specification and verification of side channel declassification
FAST'09 Proceedings of the 6th international conference on Formal Aspects in Security and Trust
Robust secret key based authentication scheme using smart cards
PCM'05 Proceedings of the 6th Pacific-Rim conference on Advances in Multimedia Information Processing - Volume Part II
DPA-resistant finite field multipliers and secure AES design
ISPEC'06 Proceedings of the Second international conference on Information Security Practice and Experience
Signed MSB-set comb method for elliptic curve point multiplication
ISPEC'06 Proceedings of the Second international conference on Information Security Practice and Experience
Further security analysis of XTR
ISPEC'06 Proceedings of the Second international conference on Information Security Practice and Experience
On the optimization of side-channel attacks by advanced stochastic methods
PKC'05 Proceedings of the 8th international conference on Theory and Practice in Public Key Cryptography
ACNS'05 Proceedings of the Third international conference on Applied Cryptography and Network Security
A tutorial on physical security and side-channel attacks
Foundations of Security Analysis and Design III
Side-channel leakage of masked CMOS gates
CT-RSA'05 Proceedings of the 2005 international conference on Topics in Cryptology
Weaknesses in a dynamic ID-based remote user authentication scheme for multi-server environment
International Journal of Electronic Security and Digital Forensics
Novel efficient implementations of hyperelliptic curve cryptosystems using degenerate divisors
WISA'04 Proceedings of the 5th international conference on Information Security Applications
Side channel cryptanalysis on SEED
WISA'04 Proceedings of the 5th international conference on Information Security Applications
Secure and efficient AES software implementation for smart cards
WISA'04 Proceedings of the 5th international conference on Information Security Applications
WISA'04 Proceedings of the 5th international conference on Information Security Applications
Intra-masking dual-rail memory on LUT implementation for tamper-resistant AES on FPGA
Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays
Reverse engineering of embedded software using syntactic pattern recognition
OTM'06 Proceedings of the 2006 international conference on On the Move to Meaningful Internet Systems: AWeSOMe, CAMS, COMINF, IS, KSinBIT, MIOS-CIAO, MONET - Volume Part I
Efficient AES implementations on ASICs and FPGAs
AES'04 Proceedings of the 4th international conference on Advanced Encryption Standard
Small size, low power, side channel-immune AES coprocessor: design and synthesis results
AES'04 Proceedings of the 4th international conference on Advanced Encryption Standard
Classifying public key certificates
EuroPKI'05 Proceedings of the Second European conference on Public Key Infrastructure
Countermeasures for preventing comb method against SCA attacks
ISPEC'05 Proceedings of the First international conference on Information Security Practice and Experience
An open approach for designing secure electronic immobilizers
ISPEC'05 Proceedings of the First international conference on Information Security Practice and Experience
A design methodology for secured ICs using dynamic current mode logic
PATMOS'05 Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Resistance of randomized projective coordinates against power analysis
CHES'05 Proceedings of the 7th international conference on Cryptographic hardware and embedded systems
CHES'05 Proceedings of the 7th international conference on Cryptographic hardware and embedded systems
A stochastic model for differential side channel cryptanalysis
CHES'05 Proceedings of the 7th international conference on Cryptographic hardware and embedded systems
Successfully attacking masked AES hardware implementations
CHES'05 Proceedings of the 7th international conference on Cryptographic hardware and embedded systems
Masked dual-rail pre-charge logic: DPA-resistance without routing constraints
CHES'05 Proceedings of the 7th international conference on Cryptographic hardware and embedded systems
Masking at gate level in the presence of glitches
CHES'05 Proceedings of the 7th international conference on Cryptographic hardware and embedded systems
EM analysis of rijndael and ECC on a wireless java-based PDA
CHES'05 Proceedings of the 7th international conference on Cryptographic hardware and embedded systems
Security evaluation against electromagnetic analysis at design time
CHES'05 Proceedings of the 7th international conference on Cryptographic hardware and embedded systems
On second-order differential power analysis
CHES'05 Proceedings of the 7th international conference on Cryptographic hardware and embedded systems
Improved higher-order side-channel attacks with FPGA experiments
CHES'05 Proceedings of the 7th international conference on Cryptographic hardware and embedded systems
DPA leakage models for CMOS logic circuits
CHES'05 Proceedings of the 7th international conference on Cryptographic hardware and embedded systems
The “backend duplication” method
CHES'05 Proceedings of the 7th international conference on Cryptographic hardware and embedded systems
Secure AES hardware module for resource constrained devices
ESAS'04 Proceedings of the First European conference on Security in Ad-hoc and Sensor Networks
Exact analysis of montgomery multiplication
INDOCRYPT'04 Proceedings of the 5th international conference on Cryptology in India
Detecting trapdoors in smart cards using timing and power analysis
TestCom'05 Proceedings of the 17th IFIP TC6/WG 6.1 international conference on Testing of Communicating Systems
Improvement on ha-moon randomized exponentiation algorithm
ICISC'04 Proceedings of the 7th international conference on Information Security and Cryptology
Efficient and secure stored-value cards with leakage resilience
Computers and Electrical Engineering
An improved dynamic ID-based remote user authentication with key agreement scheme
Computers and Electrical Engineering
On the security bounds of CMC, EME, EME+ and EME* modes of operation
ICICS'05 Proceedings of the 7th international conference on Information and Communications Security
Attacking and improving on lee and chiu’s authentication scheme using smart cards
ISPEC'10 Proceedings of the 6th international conference on Information Security Practice and Experience
Protecting circuits from leakage: the computationally-bounded and noisy cases
EUROCRYPT'10 Proceedings of the 29th Annual international conference on Theory and Applications of Cryptographic Techniques
Power modeling of precharged address bus and application to multi-bit DPA attacks to DES algorithm
PATMOS'06 Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Techniques to enhance the resistance of precharged busses to differential power analysis
PATMOS'06 Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Formal evaluation of the robustness of dual-rail logic against DPA attacks
PATMOS'06 Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Practical improvements of profiled side-channel attacks on a hardware crypto-accelerator
AFRICACRYPT'10 Proceedings of the Third international conference on Cryptology in Africa
Fresh re-keying: security against side-channel and fault attacks for low-cost devices
AFRICACRYPT'10 Proceedings of the Third international conference on Cryptology in Africa
A timing attack against the secret permutation in the mceliece PKC
PQCrypto'10 Proceedings of the Third international conference on Post-Quantum Cryptography
Practical power analysis attacks on software implementations of mceliece
PQCrypto'10 Proceedings of the Third international conference on Post-Quantum Cryptography
High-Order attacks against the exponent splitting protection
PKC'06 Proceedings of the 9th international conference on Theory and Practice of Public-Key Cryptography
Experiments and hardware countermeasures on power analysis attacks
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part III
An AES smart card implementation resistant to power analysis attacks
ACNS'06 Proceedings of the 4th international conference on Applied Cryptography and Network Security
Flexible exponentiation with resistance to side channel attacks
ACNS'06 Proceedings of the 4th international conference on Applied Cryptography and Network Security
A general methodology for pipelining the point multiplication operation in curve based cryptography
ACNS'06 Proceedings of the 4th international conference on Applied Cryptography and Network Security
Improving the randomized initial point countermeasure against DPA
ACNS'06 Proceedings of the 4th international conference on Applied Cryptography and Network Security
Private circuits II: keeping secrets in tamperable circuits
EUROCRYPT'06 Proceedings of the 24th annual international conference on The Theory and Applications of Cryptographic Techniques
Language modeling and encryption on packet switched networks
EUROCRYPT'06 Proceedings of the 24th annual international conference on The Theory and Applications of Cryptographic Techniques
Cache based power analysis attacks on AES
ACISP'06 Proceedings of the 11th Australasian conference on Information Security and Privacy
Side channel attacks against HMACs based on block-cipher based hash functions
ACISP'06 Proceedings of the 11th Australasian conference on Information Security and Privacy
Side channel attacks and countermeasures on pairing based cryptosystems over binary fields
CANS'06 Proceedings of the 5th international conference on Cryptology and Network Security
Template attacks on masking—resistance is futile
CT-RSA'07 Proceedings of the 7th Cryptographers' track at the RSA conference on Topics in Cryptology
Differential power analysis of stream ciphers
CT-RSA'07 Proceedings of the 7th Cryptographers' track at the RSA conference on Topics in Cryptology
Cache based remote timing attack on the AES
CT-RSA'07 Proceedings of the 7th Cryptographers' track at the RSA conference on Topics in Cryptology
Design of reliable and secure multipliers by multilinear arithmetic codes
ICICS'09 Proceedings of the 11th international conference on Information and Communications Security
Unrolling cryptographic circuits: a simple countermeasure against side-channel attacks
CT-RSA'10 Proceedings of the 2010 international conference on Topics in Cryptology
Revisiting higher-order DPA attacks: multivariate mutual information analysis
CT-RSA'10 Proceedings of the 2010 international conference on Topics in Cryptology
Differential cache-collision timing attacks on AES with applications to embedded CPUs
CT-RSA'10 Proceedings of the 2010 international conference on Topics in Cryptology
Fine-Grained timing using genetic programming
EuroGP'10 Proceedings of the 13th European conference on Genetic Programming
Efficient entropy estimation for mutual information analysis using b-splines
WISTP'10 Proceedings of the 4th IFIP WG 11.2 international conference on Information Security Theory and Practices: security and Privacy of Pervasive Systems and Smart Devices
Enhance multi-bit spectral analysis on hiding in temporal dimension
CARDIS'10 Proceedings of the 9th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Application
Side-Channel leakage across borders
CARDIS'10 Proceedings of the 9th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Application
Designing a side channel resistant random number generator
CARDIS'10 Proceedings of the 9th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Application
Simple power analysis on exponentiation revisited
CARDIS'10 Proceedings of the 9th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Application
Atomicity improvement for elliptic curve scalar multiplication
CARDIS'10 Proceedings of the 9th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Application
Combined attacks and countermeasures
CARDIS'10 Proceedings of the 9th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Application
Attacks on java card 3.0 combining fault and logical attacks
CARDIS'10 Proceedings of the 9th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Application
Leakage resilient strong key-insulated signatures in public channel
INTRUST'10 Proceedings of the Second international conference on Trusted Systems
An emerging threat: eve meets a robot
INTRUST'10 Proceedings of the Second international conference on Trusted Systems
Practical modifications of leadbitter et al.'s repeated-bits side-channel analysis on (EC)DSA
WISA'05 Proceedings of the 6th international conference on Information Security Applications
A DPA countermeasure by randomized frobenius decomposition
WISA'05 Proceedings of the 6th international conference on Information Security Applications
DPA attack on the improved ha-moon algorithm
WISA'05 Proceedings of the 6th international conference on Information Security Applications
An efficient masking scheme for AES software implementations
WISA'05 Proceedings of the 6th international conference on Information Security Applications
The physically observable security of signature schemes
IMA'05 Proceedings of the 10th international conference on Cryptography and Coding
On the automatic construction of indistinguishable operations
IMA'05 Proceedings of the 10th international conference on Cryptography and Coding
Efficient countermeasures for thwarting the SCA attacks on the frobenius based methods
IMA'05 Proceedings of the 10th international conference on Cryptography and Coding
Security protection on FPGA against differential power analysis attacks
Proceedings of the Seventh Annual Workshop on Cyber Security and Information Intelligence Research
SPA resistant left-to-right integer recodings
SAC'05 Proceedings of the 12th international conference on Selected Areas in Cryptography
Relative doubling attack against montgomery ladder
ICISC'05 Proceedings of the 8th international conference on Information Security and Cryptology
ICISC'05 Proceedings of the 8th international conference on Information Security and Cryptology
An algebraic masking method to protect AES against power attacks
ICISC'05 Proceedings of the 8th international conference on Information Security and Cryptology
BiTR: built-in tamper resilience
ASIACRYPT'11 Proceedings of the 17th international conference on The Theory and Application of Cryptology and Information Security
On quisquater's multiplication algorithm
Cryptography and Security
Secret key leakage from public key perturbation of DLP-Based cryptosystems
Cryptography and Security
EM probes characterisation for security analysis
Cryptography and Security
An updated survey on secure ECC implementations: attacks, countermeasures and cost
Cryptography and Security
Masking with randomized look up tables
Cryptography and Security
A qualitative security analysis of a new class of 3-d integrated crypto co-processors
Cryptography and Security
Private communication detection: a stochastic approach
Proceedings of the fifth ACM conference on Security and Privacy in Wireless and Mobile Networks
Cryptanalysis and improvement of sood et al.'s dynamic ID-Based authentication scheme
ICDCIT'12 Proceedings of the 8th international conference on Distributed Computing and Internet Technology
CT-RSA'12 Proceedings of the 12th conference on Topics in Cryptology
Power analysis of atmel cryptomemory --- recovering keys from secure EEPROMs
CT-RSA'12 Proceedings of the 12th conference on Topics in Cryptology
A first-order leak-free masking countermeasure
CT-RSA'12 Proceedings of the 12th conference on Topics in Cryptology
Localized electromagnetic analysis of cryptographic implementations
CT-RSA'12 Proceedings of the 12th conference on Topics in Cryptology
Towards different flavors of combined side channel attacks
CT-RSA'12 Proceedings of the 12th conference on Topics in Cryptology
A new difference method for side-channel analysis with high-dimensional leakage models
CT-RSA'12 Proceedings of the 12th conference on Topics in Cryptology
CT-RSA'12 Proceedings of the 12th conference on Topics in Cryptology
Elliptic curve cryptography on the WISP UHF RFID tag
RFIDSec'11 Proceedings of the 7th international conference on RFID Security and Privacy
Side-channel analysis of cryptographic RFIDs with analog demodulation
RFIDSec'11 Proceedings of the 7th international conference on RFID Security and Privacy
Combined fault and side-channel attack on protected implementations of AES
CARDIS'11 Proceedings of the 10th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Applications
Memory-efficient fault countermeasures
CARDIS'11 Proceedings of the 10th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Applications
Redundant modular reduction algorithms
CARDIS'11 Proceedings of the 10th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Applications
A high-performance implementation of differential power analysis on graphics cards
CARDIS'11 Proceedings of the 10th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Applications
CARDIS'11 Proceedings of the 10th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Applications
PKDPA: an enhanced probabilistic differential power attack methodology
INDOCRYPT'11 Proceedings of the 12th international conference on Cryptology in India
INDOCRYPT'11 Proceedings of the 12th international conference on Cryptology in India
International Journal of Network Management
Review: Dynamic ID-based remote user password authentication schemes using smart cards: A review
Journal of Network and Computer Applications
A code morphing methodology to automate power analysis countermeasures
Proceedings of the 49th Annual Design Automation Conference
MTD3L: a secure IC design methodology with reduced overhead
ACMIN'12 Proceedings of the 14th international conference on Automatic Control, Modelling & Simulation, and Proceedings of the 11th international conference on Microelectronics, Nanoelectronics, Optoelectronics
Fast and scalable parallel processing of scalar multiplication in elliptic curve cryptosystems
Security and Communication Networks
Mobile device integration of a fingerprint biometric remote authentication scheme
International Journal of Communication Systems
Correlation power analysis of Trivium
Security and Communication Networks
Using NFC phones for proving credentials
MMB'12/DFT'12 Proceedings of the 16th international GI/ITG conference on Measurement, Modelling, and Evaluation of Computing Systems and Dependability and Fault Tolerance
A new dynamic ID-Based remote user authentication scheme with forward secrecy
APWeb'12 Proceedings of the 14th international conference on Web Technologies and Applications
Partial key exposure on RSA with private exponents larger than N
ISPEC'12 Proceedings of the 8th international conference on Information Security Practice and Experience
Overcoming significant noise: correlation-template-induction attack
ISPEC'12 Proceedings of the 8th international conference on Information Security Practice and Experience
Statistical tools flavor side-channel collision attacks
EUROCRYPT'12 Proceedings of the 31st Annual international conference on Theory and Applications of Cryptographic Techniques
Robust smart-cards-based user authentication scheme with user anonymity
Security and Communication Networks
A More Secure Authentication Scheme for Telecare Medicine Information Systems
Journal of Medical Systems
Distributed public key schemes secure against continual leakage
PODC '12 Proceedings of the 2012 ACM symposium on Principles of distributed computing
Blind cartography for side channel attacks: cross-correlation cartography
International Journal of Reconfigurable Computing - Special issue on Selected Papers from the International Conference on Reconfigurable Computing and FPGAs (ReConFig'10)
Side-channel vulnerability factor: a metric for measuring information leakage
Proceedings of the 39th Annual International Symposium on Computer Architecture
Inspection resistant memory: architectural support for security from physical examination
Proceedings of the 39th Annual International Symposium on Computer Architecture
Exploiting the difference of side-channel leakages
COSADE'12 Proceedings of the Third international conference on Constructive Side-Channel Analysis and Secure Design
Attacking an AES-Enabled NFC tag: implications from design to a real-world scenario
COSADE'12 Proceedings of the Third international conference on Constructive Side-Channel Analysis and Secure Design
COSADE'12 Proceedings of the Third international conference on Constructive Side-Channel Analysis and Secure Design
An architectural countermeasure against power analysis attacks for FSR-Based stream ciphers
COSADE'12 Proceedings of the Third international conference on Constructive Side-Channel Analysis and Secure Design
RSA key generation: new attacks
COSADE'12 Proceedings of the Third international conference on Constructive Side-Channel Analysis and Secure Design
Butterfly-Attack on skein's modular addition
COSADE'12 Proceedings of the Third international conference on Constructive Side-Channel Analysis and Secure Design
COSADE'12 Proceedings of the Third international conference on Constructive Side-Channel Analysis and Secure Design
Power Analysis Attack Resistance Engineering by Dynamic Voltage and Frequency Scaling
ACM Transactions on Embedded Computing Systems (TECS)
Randomized Instruction Injection to Counter Power Analysis Attacks
ACM Transactions on Embedded Computing Systems (TECS)
Zero-value point attacks on kummer-based cryptosystem
ACNS'12 Proceedings of the 10th international conference on Applied Cryptography and Network Security
PICARO: a block cipher allowing efficient higher-order side-channel resistance
ACNS'12 Proceedings of the 10th international conference on Applied Cryptography and Network Security
ACNS'12 Proceedings of the 10th international conference on Applied Cryptography and Network Security
Secure password-based remote user authentication scheme with non-tamper resistant smart cards
DBSec'12 Proceedings of the 26th Annual IFIP WG 11.3 conference on Data and Applications Security and Privacy
Improved fixed-base comb method for fast scalar multiplication
AFRICACRYPT'12 Proceedings of the 5th international conference on Cryptology in Africa
An efficient leakage characterization method for profiled power analysis attacks
ICISC'11 Proceedings of the 14th international conference on Information Security and Cryptology
Weaknesses in current RSA signature schemes
ICISC'11 Proceedings of the 14th international conference on Information Security and Cryptology
ICISC'11 Proceedings of the 14th international conference on Information Security and Cryptology
Potentia est scientia: security and privacy implications of energy-proportional computing
HotSec'12 Proceedings of the 7th USENIX conference on Hot Topics in Security
LRCG: latch-based random clock-gating for preventing power analysis side-channel attacks
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Cross-VM side channels and their use to extract private keys
Proceedings of the 2012 ACM conference on Computer and communications security
Deanonymizing mobility traces: using social network as a side-channel
Proceedings of the 2012 ACM conference on Computer and communications security
An Efficient Authentication Scheme for Telecare Medicine Information Systems
Journal of Medical Systems
An Improved Authentication Scheme for Telecare Medicine Information Systems
Journal of Medical Systems
An automatic design flow for implementation of side channel attacks resistant crypto-chips
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
Analysis and improvement of dual rail logic as a countermeasure against DPA
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
A model of DPA syndrome and its application to the identification of leaking gates
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
Digit set randomization in elliptic curve cryptography
SAGA'07 Proceedings of the 4th international conference on Stochastic Algorithms: foundations and applications
POWER-MODES: POWer-EmulatoR- and MOdel-Based DEpendability and Security Evaluations
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
Security and Communication Networks
Analyzing side channel leakage of masked implementations with stochastic methods
ESORICS'07 Proceedings of the 12th European conference on Research in Computer Security
The importance of the final exponentiation in pairings when considering fault attacks
Pairing'07 Proceedings of the First international conference on Pairing-Based Cryptography
SPA countermeasure based on unsigned left-to-right recodings
ATC'07 Proceedings of the 4th international conference on Autonomic and Trusted Computing
ISC'07 Proceedings of the 10th international conference on Information Security
Randomized execution algorithms for smart cards to resist power analysis attacks
Journal of Systems Architecture: the EUROMICRO Journal
A password authentication scheme against smart card security breach
ICICA'12 Proceedings of the Third international conference on Information Computing and Applications
ISC'12 Proceedings of the 15th international conference on Information Security
ECML PKDD'12 Proceedings of the 2012 European conference on Machine Learning and Knowledge Discovery in Databases - Volume Part I
Future Generation Computer Systems
Complete atomic blocks for elliptic curves in jacobian coordinates over prime fields
LATINCRYPT'12 Proceedings of the 2nd international conference on Cryptology and Information Security in Latin America
LATINCRYPT'12 Proceedings of the 2nd international conference on Cryptology and Information Security in Latin America
Higher-Order masking schemes for s-boxes
FSE'12 Proceedings of the 19th international conference on Fast Software Encryption
Breakthrough silicon scanning discovers backdoor in military chip
CHES'12 Proceedings of the 14th international conference on Cryptographic Hardware and Embedded Systems
Efficient and provably secure methods for switching from arithmetic to boolean masking
CHES'12 Proceedings of the 14th international conference on Cryptographic Hardware and Embedded Systems
Selecting time samples for multivariate DPA attacks
CHES'12 Proceedings of the 14th international conference on Cryptographic Hardware and Embedded Systems
Unified and optimized linear collision attacks and their application in a non-profiled setting
CHES'12 Proceedings of the 14th international conference on Cryptographic Hardware and Embedded Systems
Towards super-exponential side-channel security with efficient leakage-resilient PRFs
CHES'12 Proceedings of the 14th international conference on Cryptographic Hardware and Embedded Systems
A statistical model for DPA with novel algorithmic confusion analysis
CHES'12 Proceedings of the 14th international conference on Cryptographic Hardware and Embedded Systems
CHES'12 Proceedings of the 14th international conference on Cryptographic Hardware and Embedded Systems
CHES'12 Proceedings of the 14th international conference on Cryptographic Hardware and Embedded Systems
Securing pairing-based cryptography on smartcards
International Journal of Information and Computer Security
A novel circuit design methodology to reduce side channel leakage
SPACE'12 Proceedings of the Second international conference on Security, Privacy, and Applied Cryptography Engineering
The schedulability of AES as a countermeasure against side channel attacks
SPACE'12 Proceedings of the Second international conference on Security, Privacy, and Applied Cryptography Engineering
Comparison between side-channel analysis distinguishers
ICICS'12 Proceedings of the 14th international conference on Information and Communications Security
Utilizing random noise in cryptography: where is the tofu?
Proceedings of the International Conference on Computer-Aided Design
Controversy Corner: Efficient Hamming weight-based side-channel cube attacks on PRESENT
Journal of Systems and Software
Security challenges in embedded systems
ACM Transactions on Embedded Computing Systems (TECS) - Special section on ESTIMedia'12, LCTES'11, rigorous embedded systems design, and multiprocessor system-on-chip for cyber-physical systems
Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays
Shuffling against side-channel attacks: a comprehensive study with cautionary note
ASIACRYPT'12 Proceedings of the 18th international conference on The Theory and Application of Cryptology and Information Security
Theory and practice of a leakage resilient masking scheme
ASIACRYPT'12 Proceedings of the 18th international conference on The Theory and Application of Cryptology and Information Security
NSS'12 Proceedings of the 6th international conference on Network and System Security
Design and Implementation of a Zero-Knowledge Authentication Framework for Java Card
International Journal of Information Security and Privacy
CAR30: A new scalable stream cipher with rule 30
Cryptography and Communications
Efficient public key cryptosystem resilient to key leakage chosen ciphertext attacks
CT-RSA'13 Proceedings of the 13th international conference on Topics in Cryptology
Applying remote side-channel analysis attacks on a security-enabled NFC tag
CT-RSA'13 Proceedings of the 13th international conference on Topics in Cryptology
Practical leakage-resilient pseudorandom objects with minimum public randomness
CT-RSA'13 Proceedings of the 13th international conference on Topics in Cryptology
Error detecting AES using polynomial residue number systems
Microprocessors & Microsystems
Dynamic fault injection countermeasure: a new conception of java card security
CARDIS'12 Proceedings of the 11th international conference on Smart Card Research and Advanced Applications
Java card combined attacks with localization-agnostic fault injection
CARDIS'12 Proceedings of the 11th international conference on Smart Card Research and Advanced Applications
Secure multiple SBoxes implementation with arithmetically masked input
CARDIS'12 Proceedings of the 11th international conference on Smart Card Research and Advanced Applications
Low-Cost countermeasure against RPA
CARDIS'12 Proceedings of the 11th international conference on Smart Card Research and Advanced Applications
Improving side-channel analysis with optimal linear transforms
CARDIS'12 Proceedings of the 11th international conference on Smart Card Research and Advanced Applications
SCA with magnitude squared coherence
CARDIS'12 Proceedings of the 11th international conference on Smart Card Research and Advanced Applications
Power Analysis of Hardware Implementations Protected with Secret Sharing
MICROW '12 Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture Workshops
Theorem-based, data-driven, cyber event detection
Proceedings of the Eighth Annual Cyber Security and Information Intelligence Research Workshop
Proceedings of the 14th ACM SIGPLAN/SIGBED conference on Languages, compilers and tools for embedded systems
Security Flaws in a Smart Card Based Authentication Scheme for Multi-server Environment
Wireless Personal Communications: An International Journal
A case study of side-channel analysis using decoupling capacitor power measurement with the OpenADC
FPS'12 Proceedings of the 5th international conference on Foundations and Practice of Security
Cube cryptanalysis of LBlock with noisy leakage
ICISC'12 Proceedings of the 15th international conference on Information Security and Cryptology
Security evaluation of cryptographic modules against profiling attacks
ICISC'12 Proceedings of the 15th international conference on Information Security and Cryptology
Key-Dependent weakness of AES-Based ciphers under clockwise collision distinguisher
ICISC'12 Proceedings of the 15th international conference on Information Security and Cryptology
An evaluation of an AES implementation protected against EM analysis
Proceedings of the 23rd ACM international conference on Great lakes symposium on VLSI
Leakage-resilient lossy trapdoor functions and public-key encryption
Proceedings of the first ACM workshop on Asia public-key cryptography
An EDA-friendly protection scheme against side-channel attacks
Proceedings of the Conference on Design, Automation and Test in Europe
ACM Transactions on Information and System Security (TISSEC)
Side channel vulnerability metrics: the promise and the pitfalls
Proceedings of the 2nd International Workshop on Hardware and Architectural Support for Security and Privacy
Security testing of a secure cache design
Proceedings of the 2nd International Workshop on Hardware and Architectural Support for Security and Privacy
Towards trustworthy medical devices and body area networks
Proceedings of the 50th Annual Design Automation Conference
Low-energy encryption for medical devices: security adds an extra design dimension
Proceedings of the 50th Annual Design Automation Conference
Role of power grid in side channel attack and power-grid-aware secure design
Proceedings of the 50th Annual Design Automation Conference
Compiler-based side channel vulnerability analysis and optimized countermeasures application
Proceedings of the 50th Annual Design Automation Conference
A flip-flop for the DPA resistant three-phase dual-rail pre-charge logic family
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
CLIP: circuit level IC protection through direct injection of process variations
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Masked dual-rail precharge logic encounters state-of-the-art power analysis methods
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Secure dual-core cryptoprocessor for pairings over Barreto-Naehrig curves on FPGA platform
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Implementing side-channel attacks on suggest boxes in web applications
Proceedings of the First International Conference on Security of Internet of Things
Amplitude demodulation-based EM analysis of different RSA implementations
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
RSM: a small and fast countermeasure for AES, secure against 1st and 2nd-order zero-offset SCAs
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Revealing side-channel issues of complex circuits by enhanced leakage models
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Implementation of correlation power analysis attack on an FPGA DES design
International Journal of Information and Communication Technology
A novel differential scan attack on advanced DFT structures
ACM Transactions on Design Automation of Electronic Systems (TODAES) - Special Section on Networks on Chip: Architecture, Tools, and Methodologies
Novel Untraceable Authenticated Key Agreement Protocol Suitable for Mobile Communication
Wireless Personal Communications: An International Journal
An Authentication Scheme for Secure Access to Healthcare Services
Journal of Medical Systems
Journal of Medical Systems
Security analysis of a widely deployed locking system
Proceedings of the 2013 ACM SIGSAC conference on Computer & communications security
Attacks on implementations of cryptographic algorithms: side-channel and fault attacks
Proceedings of the 6th International Conference on Security of Information and Networks
Beyond full disk encryption: protection on security-enhanced commodity processors
ACNS'13 Proceedings of the 11th international conference on Applied Cryptography and Network Security
Secure and efficient design of software block cipher implementations on microcontrollers
International Journal of Grid and Utility Computing
Leakage-resilient identity-based encryption scheme
International Journal of Grid and Utility Computing
BICS'13 Proceedings of the 6th international conference on Advances in Brain Inspired Cognitive Systems
Differential photonic emission analysis
COSADE'13 Proceedings of the 4th international conference on Constructive Side-Channel Analysis and Secure Design
Updated recommendations for blinded exponentiation vs. single trace analysis
COSADE'13 Proceedings of the 4th international conference on Constructive Side-Channel Analysis and Secure Design
On 3-share threshold implementations for 4-bit s-boxes
COSADE'13 Proceedings of the 4th international conference on Constructive Side-Channel Analysis and Secure Design
COSADE'13 Proceedings of the 4th international conference on Constructive Side-Channel Analysis and Secure Design
Exploring the relations between fault sensitivity and power consumption
COSADE'13 Proceedings of the 4th international conference on Constructive Side-Channel Analysis and Secure Design
Chosen-IV correlation power analysis on KCipher-2 and a countermeasure
COSADE'13 Proceedings of the 4th international conference on Constructive Side-Channel Analysis and Secure Design
Semi-Supervised template attack
COSADE'13 Proceedings of the 4th international conference on Constructive Side-Channel Analysis and Secure Design
CHES'13 Proceedings of the 15th international conference on Cryptographic Hardware and Embedded Systems
On measurable side-channel leaks inside ASIC design primitives
CHES'13 Proceedings of the 15th international conference on Cryptographic Hardware and Embedded Systems
Sleuth: automated verification of software power analysis countermeasures
CHES'13 Proceedings of the 15th international conference on Cryptographic Hardware and Embedded Systems
Analysis and improvement of the generic higher-order masking scheme of FSE 2012
CHES'13 Proceedings of the 15th international conference on Cryptographic Hardware and Embedded Systems
Using bleichenbacher's solution to the hidden number problem to attack nonce leaks in 384-bit ECDSA
CHES'13 Proceedings of the 15th international conference on Cryptographic Hardware and Embedded Systems
A new model for error-tolerant side-channel cube attacks
CHES'13 Proceedings of the 15th international conference on Cryptographic Hardware and Embedded Systems
Leakage-Resilient symmetric encryption via re-keying
CHES'13 Proceedings of the 15th international conference on Cryptographic Hardware and Embedded Systems
CacheAudit: a tool for the static analysis of cache side channels
SEC'13 Proceedings of the 22nd USENIX conference on Security
SEC'13 Proceedings of the 22nd USENIX conference on Security
Requirements for an Open Ecosystem for Embedded Tamper Resistant Hardware on Mobile Devices
Proceedings of International Conference on Advances in Mobile Computing & Multimedia
First-order collision attack on protected NTRU cryptosystem
Microprocessors & Microsystems
On using genetic algorithms for intrinsic side-channel resistance: the case of AES S-box
Proceedings of the First Workshop on Cryptography and Security in Computing Systems
Personal and Ubiquitous Computing
AES side-channel countermeasure using random tower field constructions
Designs, Codes and Cryptography
Physical Security Evaluation at an Early Design-Phase: A Side-Channel Aware Simulation Methodology
Proceedings of International Workshop on Engineering Simulations for Cyber-Physical Systems
Message blinding method requiring no multiplicative inversion for RSA
ACM Transactions on Embedded Computing Systems (TECS)
Hardware security: threat models and metrics
Proceedings of the International Conference on Computer-Aided Design
Journal of Medical Systems
Journal of Medical Systems
Memory encryption: A survey of existing techniques
ACM Computing Surveys (CSUR)
International Journal of Information and Communication Technology
Secure embedded system hardware design - A flexible security and trust enhanced approach
Computers and Electrical Engineering
Cryptanalysis and Improvement of an Anonymous Authentication Protocol for Wireless Access Networks
Wireless Personal Communications: An International Journal
Threshold public key encryption scheme resilient against continual leakage without random oracles
Frontiers of Computer Science: Selected Publications from Chinese Universities
Efficient leakage-resilient public key encryption from DDH assumption
Cluster Computing
Enhanced Dynamic Authentication Scheme (EDAS)
Information Systems Frontiers
Impact of dual placement and routing on WDDL netlist security in FPGA
International Journal of Reconfigurable Computing
Hi-index | 0.01 |
Cryptosystem designers frequently assume that secrets will be manipulated in closed, reliable computing environments. Unfortunately, actual computers and microchips leak information about the operations they process. This paper examines specific methods for analyzing power consumption measurements to find secret keys from tamper resistant devices. We also discuss approaches for building cryptosystems that can operate securely in existing hardware that leaks information.