Digital integrated circuits: a design perspective
Digital integrated circuits: a design perspective
Ultra-low power digital subthreshold logic circuits
ISLPED '99 Proceedings of the 1999 international symposium on Low power electronics and design
Digital CMOS logic operation in the sub-threshold region
GLSVLSI '00 Proceedings of the 10th Great Lakes symposium on VLSI
Design issues for dynamic voltage scaling
ISLPED '00 Proceedings of the 2000 international symposium on Low power electronics and design
Robust ultra-low power sub-threshold DTMOS logic
ISLPED '00 Proceedings of the 2000 international symposium on Low power electronics and design
Automatic performance setting for dynamic voltage scaling
Proceedings of the 7th annual international conference on Mobile computing and networking
Limits to Voltage Scaling from the Low Power Perspective
SBCCI '00 Proceedings of the 13th symposium on Integrated circuits and systems design
Optimal Supply and Threshold Scaling for Subthreshold CMOS Circuits
ISVLSI '02 Proceedings of the IEEE Computer Society Annual Symposium on VLSI
Vertigo: automatic performance-setting for Linux
OSDI '02 Proceedings of the 5th symposium on Operating systems design and implementationCopyright restrictions prevent ACM from being able to make the PDFs for this conference available for downloading
Power Attack Resistant Cryptosystem Design: A Dynamic Voltage and Frequency Switching Approach
Proceedings of the conference on Design, Automation and Test in Europe - Volume 3
System-level energy-efficient dynamic task scheduling
Proceedings of the 42nd annual Design Automation Conference
Energy Optimization of Subthreshold-Voltage Sensor Network Processors
Proceedings of the 32nd annual international symposium on Computer Architecture
Analysis and mitigation of variability in subthreshold design
ISLPED '05 Proceedings of the 2005 international symposium on Low power electronics and design
Energy management for commodity short-bit-width microcontrollers
Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems
Proceedings of the 2005 international conference on Compilers, architectures and synthesis for embedded systems
Minimum Energy Near-threshold Network of PLA based Design
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
Robust Design of High Fan-In/Out Subthreshold Circuits
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
Variation-driven device sizing for minimum energy sub-threshold circuits
Proceedings of the 2006 international symposium on Low power electronics and design
A new technique for jointly optimizing gate sizing and supply voltage in ultra-low energy circuits
Proceedings of the 2006 international symposium on Low power electronics and design
Synchronization-driven dynamic speed scaling for MPSoCs
Proceedings of the 2006 international symposium on Low power electronics and design
Energy optimality and variability in subthreshold design
Proceedings of the 2006 international symposium on Low power electronics and design
Temperature-aware leakage minimization technique for real-time systems
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Optimal Dynamic Voltage Scaling in Energy-Limited Nonpreemptive Systems with Real-Time Constraints
IEEE Transactions on Mobile Computing
Analysis and optimization of sleep modes in subthreshold circuit design
Proceedings of the 44th annual Design Automation Conference
Energy efficient near-threshold chip multi-processing
ISLPED '07 Proceedings of the 2007 international symposium on Low power electronics and design
Yield-driven near-threshold SRAM design
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Energy-efficient dynamic task scheduling algorithms for DVS systems
ACM Transactions on Embedded Computing Systems (TECS)
Reducing network energy consumption via sleeping and rate-adaptation
NSDI'08 Proceedings of the 5th USENIX Symposium on Networked Systems Design and Implementation
Optimal technology selection for minimizing energy and variability in low voltage applications
Proceedings of the 13th international symposium on Low power electronics and design
A single-supply true voltage level shifter
Proceedings of the conference on Design, automation and test in Europe
A low-power parallel design of discrete wavelet transform using subthreshold voltage technology
CASES '08 Proceedings of the 2008 international conference on Compilers, architectures and synthesis for embedded systems
Case study of reliability-aware and low-power design
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Subthreshold FIR Filter Architecture for Ultra Low Power Applications
Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation
Reconfigurable energy efficient near threshold cache architectures
Proceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture
Skilled in the art of being idle: reducing energy waste in networked systems
NSDI'09 Proceedings of the 6th USENIX symposium on Networked systems design and implementation
Serial sub-threshold circuits for ultra-low-power systems
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
Low power circuit design based on heterojunction tunneling transistors (HETTs)
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
Reconfigurable Multicore Server Processors for Low Power Operation
SAMOS '09 Proceedings of the 9th International Workshop on Embedded Computer Systems: Architectures, Modeling, and Simulation
Greening the internet with nano data centers
Proceedings of the 5th international conference on Emerging networking experiments and technologies
Predictive-flow-queue-based energy optimization for gigabit ethernet controllers
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Energy-efficient subthreshold processor design
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Optimal sleep patterns for serving delay-tolerant jobs
Proceedings of the 1st International Conference on Energy-Efficient Computing and Networking
Energy-aware traffic engineering
Proceedings of the 1st International Conference on Energy-Efficient Computing and Networking
3D simulation and analysis of the radiation tolerance of voltage scaled digital circuit
ICCD'09 Proceedings of the 2009 IEEE international conference on Computer design
WiDGET: Wisconsin decoupled grid execution tiles
Proceedings of the 37th annual international symposium on Computer architecture
Enabling power-efficient DVFS operations on silicon
IEEE Circuits and Systems Magazine
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Clock network design for ultra-low power applications
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Automatic synthesis of near-threshold circuits with fine-grained performance tunability
Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design
Energy minimization for real-time systems with non-convex and discrete operation modes
Proceedings of the Conference on Design, Automation and Test in Europe
Yield-driven near-threshold SRAM design
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A Structural Customization Approach for Low Power Embedded Systems Design
GREENCOM-CPSCOM '10 Proceedings of the 2010 IEEE/ACM Int'l Conference on Green Computing and Communications & Int'l Conference on Cyber, Physical and Social Computing
Pipeline strategy for improving optimal energy efficiency in ultra-low voltage design
Proceedings of the 48th Design Automation Conference
Utilization-aware redirection policy in CDN: a case for energy conservation
ICT-GLOW'11 Proceedings of the First international conference on Information and communication on technology for the fight against global warming
Analyzing local strategies for energy-efficient networking
NETWORKING'11 Proceedings of the IFIP TC 6th international conference on Networking
Modeling and Designing for Accuracy and Energy Efficiency in Wireless Electroencephalography Systems
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Energy dissipation reduction of a cardiac event detector in the Sub-Vt
PATMOS'09 Proceedings of the 19th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Detecting stability faults in sub-threshold SRAMs
Proceedings of the International Conference on Computer-Aided Design
Energy efficient scheduling of parallel tasks on multiprocessor computers
The Journal of Supercomputing
On the intertwining between capacity scaling and TCP congestion control
Proceedings of the 3rd International Conference on Future Energy Systems: Where Energy, Computing and Communication Meet
Decoupling capacitor design strategy for minimizing supply noise of ultra low voltage circuits
Proceedings of the 49th Annual Design Automation Conference
Circuit and system design guidelines for ultra-low power sensor nodes
Proceedings of the 49th Annual Design Automation Conference
Assessing the performance limits of parallelized near-threshold computing
Proceedings of the 49th Annual Design Automation Conference
A fine-grained many VT design methodology for ultra low voltage operations
Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
The Journal of Supercomputing
Power Analysis Attack Resistance Engineering by Dynamic Voltage and Frequency Scaling
ACM Transactions on Embedded Computing Systems (TECS)
Mixed Integer Non-Linear Programming models for Green Network Design
Computers and Operations Research
An efficient energy and schedule length model for multiprocessor computers
International Journal of Computer Applications in Technology
Optimization for real-time systems with non-convex power versus speed models
PATMOS'07 Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation
An optimal energy and power model for dynamic voltage scaled multiprocessor systems
International Journal of Business Information Systems
Evaluating energy consumption in CDN servers
ICT-GLOW'12 Proceedings of the Second international conference on ICT as Key Technology against Global Warming
Design and Optimization of Multiplierless FIR Filters Using Sub-Threshold Circuits
Journal of Signal Processing Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Variation-aware voltage level selection
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Proceedings of the International Conference on Computer-Aided Design
Journal of Network and Computer Applications
Hi-index | 0.00 |
Dynamic voltage scaling (DVS) is a popular approach for energy reduction of integrated circuits. Current processors that use DVS typically have an operating voltage range from full to half of the maximum Vdd. However, it is possible to construct designs that operate over a much larger voltage range: from full Vdd to subthreshold voltages. This possibility raises the question of whether a larger voltage range improves the energy efficiency of DVS. First, from a theoretical point of view, we show that for subthreshold supply voltages leakage energy becomes dominant, making "just in time completion" energy inefficient. We derive an analytical model for the minimum energy optimal voltage and study its trends with technology scaling. Second, we use the proposed model to study the workload activity of an actual processor and analyze the energy efficiency as a function of the lower limit of voltage scaling. Based on this study, we show that extending the voltage range below 1/2 Vdd will improve the energy efficiency for most processor designs, while extending this range to subthreshold operation is beneficial only for very specific applications. Finally, we show that operation deep in the subthreshold voltage range is never energy-efficient.