Power and performance tradeoffs using various caching strategies

  • Authors:
  • R. Iris Bahar;Gianluca Albera;Srilatha Manne

  • Affiliations:
  • Brown University, Division of Engineering, Providence, RI;Politecnico di Torino, Dip. di Automatica e Informatica, Torino, ITALY and Brown University, Division of Engineering, Providence, RI;University of Colorado, Dept. of ECE, Boulder, CO

  • Venue:
  • ISLPED '98 Proceedings of the 1998 international symposium on Low power electronics and design
  • Year:
  • 1998

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this paper, we propose several different data and instruction cache configurations and analyze their power as well as performance implications on the processor. Unlike most existing work in low power microprocessor design, we explore a high performance processor with the latest innovations for performance. Using a detailed, architectural-level simulator, we evaluate full system performance using several different power/performance sensitive cache configurations such as increasing cache size or associativity and including buffers along side L1 caches. We then use the information obtained from the simulator to calculate the energy consumption of the memory hierarchy of the system. As an alternative to simply increasing cache associativity or size to reduce lower-level memory energy consumption (which may have a detrimental effect on on-chip energy consumption), we show that, by using buffers, energy consumption of the memory subsystem may be reduced by as much as 13% for certain data cache configurations and by as much as 23% for certain instruction cache configurations without adversely effecting processor performance or on-chip energy consumption.