Calibration of rent's rule models for three-dimensional integrated circuits

  • Authors:
  • Shamik Das;Anantha P. Chandrakasan;Rafael Reif

  • Affiliations:
  • Microsystems Technology Laboratories, Massachusetts Institute of Technology, Cambridge, MA;Microsystems Technology Laboratories, Massachusetts Institute of Technology, Cambridge, MA;Microsystems Technology Laboratories, Massachusetts Institute of Technology, Cambridge, MA

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  • Year:
  • 2004

Quantified Score

Hi-index 0.03

Visualization

Abstract

In this paper, we determine the accuracy of Rahman's interconnect prediction model for three-dimensional (3-D) integrated circuits. Utilizing this model, we calculate the wiring requirement for a set of benchmark standard-cell circuits. We then obtain placed and routed wirelength figures for these circuits using 3-D standard-cell placement and global-routing tools we have developed. We find that the Rahman model predicts wirelengths accurately (to within 20% of placement and of routing, on average), and suggest some areas for minor improvement to the model.