Early research experience with OpenAccess gear: an open source development environment for physical design

  • Authors:
  • Zhong Xiu;David A. Papa;Philip Chong;Christoph Albrecht;Andreas Kuehlmann;Rob A. Rutenbar;Igor L. Markov

  • Affiliations:
  • Carnegie Mellon University, Pittsburgh, PA;University of Michigan, Ann Arbor, MI;Cadence Berkeley Labs, Berkeley, CA;Cadence Berkeley Labs, Berkeley, CA;Cadence Berkeley Labs, Berkeley, CA;Carnegie Mellon University, Pittsburgh, PA;University of Michigan, Ann Arbor, MI

  • Venue:
  • Proceedings of the 2005 international symposium on Physical design
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

Physical design EDA research in academia has historically been based on infrastructure developed independently by individual contributors. This has led to fragmentation in the community, where interaction, data interchange and comparison of results between tools are difficult. We discuss our early experience with the OpenAccess Gear system, an open source software initiative intended to provide pieces of the critical integration and analysis infrastructure that are taken for granted in proprietary tools, but often wholly absent in research tools. Built on top of the widely available OpenAccess database, OA Gear provides components such as industrial-strength static timing analysis and extensible layout and netlist visualization. We discuss preliminary results from two on-going research efforts that have adopted OA Gear as their infrastructure: retrofitting the University of Michigan Capo placer into this environment, and the addition of a timing-driven capability to the Carnegie Mellon Warp placer.