Principles of CMOS VLSI design: a systems perspective
Principles of CMOS VLSI design: a systems perspective
Estimation of average switching activity in combinational and sequential circuits
DAC '92 Proceedings of the 29th ACM/IEEE Design Automation Conference
ISLPED '96 Proceedings of the 1996 international symposium on Low power electronics and design
Voltage scheduling in the IpARM microprocessor system
ISLPED '00 Proceedings of the 2000 international symposium on Low power electronics and design
Dual-threshold voltage assignment with transistor sizing for low power CMOS circuits
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Proceedings of the 2003 international symposium on Low power electronics and design
Gate sizing in MOS digital circuits with linear programming
EURO-DAC '90 Proceedings of the conference on European design automation
Concurrent Sizing, Vdd and Vth Assignment for Low-Power Design
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Proceedings of the 2004 international symposium on Low power electronics and design
Gate Sizing and V{t} Assignment for Active-Mode Leakage Power Reduction
ICCD '04 Proceedings of the IEEE International Conference on Computer Design
General transistor-level methodology on VLSI low-power design
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
Simultaneous optimization of total power, crosstalk noise, and delay under uncertainty
Proceedings of the 18th ACM Great Lakes symposium on VLSI
An expected-utility based approach to variation aware VLSI optimization under scarce information
Proceedings of the 13th international symposium on Low power electronics and design
Variation-aware multimetric optimization during gate sizing
ACM Transactions on Design Automation of Electronic Systems (TODAES)
The epsilon-approximation to discrete VT assignment for leakage power minimization
Proceedings of the 2009 International Conference on Computer-Aided Design
Hi-index | 0.01 |
Minimizing power consumption is one of the most important objectives in IC design. Resizing gates and assigning different Vt's are common ways to meet power and timing budgets. We propose an automatic implementation of both these techniques using a mixedinteger linear programming model called MLP-exact, which minimizes a circuit's total active-mode power consumption. Unlike previous linear programming methods which only consider local optimality, MLP-exact, can find a true global optimum. An efficient, non-optimal way to solve the MLP model, called MLP-fast,, is also described. We present a set of benchmark experiments which show that MLP-fast, is much faster than MLP-exact,, while obtaining designs with only slightly higher power consumption. Furthermore, the designs generated by MLP-fast, consume 30% less power than those obtained by conventional, sensitivity-based methods.