A study of thread migration in temperature-constrained multicores

  • Authors:
  • Pierre Michaud;André Seznec;Damien Fetis;Yiannakis Sazeides;Theofanis Constantinou

  • Affiliations:
  • IRISA/INRIA, Rennes Cedex, France;IRISA/INRIA, Rennes Cedex, France;IRISA/INRIA, Rennes Cedex, France;University of Cyprus, Nicosia, Cyprus;University of Cyprus, Nicosia, Cyprus

  • Venue:
  • ACM Transactions on Architecture and Code Optimization (TACO)
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

Temperature has become an important constraint in high-performance processors, especially multicores. Thread migration will be essential to exploit the full potential of future thermally constrained multicores. We propose and study a thread migration method that maximizes performance under a temperature constraint, while minimizing the number of migrations and ensuring fairness between threads. We show that thread migration brings important performance gains and that it is most effective during the first tens of seconds following a decrease of the number of running threads.