Statistical Timing Analysis Considering Spatial Correlations using a Single Pert-Like Traversal
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Robust extraction of spatial correlation
Proceedings of the 2006 international symposium on Physical design
Proceedings of the 43rd annual Design Automation Conference
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Within-die process variations: how accurately can they be statistically modeled?
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Noninvasive leakage power tomography of integrated circuits by compressive sensing
Proceedings of the 13th international symposium on Low power electronics and design
Proceedings of the conference on Design, automation and test in Europe
Proceedings of the conference on Design, automation and test in Europe
Post-silicon timing characterization by compressed sensing
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Stochastic thermal simulation considering spatial correlated within-die process variations
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Proceedings of the 46th Annual Design Automation Conference
Spectral techniques for high-resolution thermal characterization with limited sensor data
Proceedings of the 46th Annual Design Automation Conference
Post-fabrication measurement-driven oxide breakdown reliability prediction and management
Proceedings of the 2009 International Conference on Computer-Aided Design
Statistical leakage estimation based on sequential addition of cell leakage currents
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Analyzing the impact of process variations on parametric measurements: novel models and applications
Proceedings of the Conference on Design, Automation and Test in Europe
On confidence in characterization and application of variation models
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
A unified submodular framework for multimodal IC Trojan detection
IH'10 Proceedings of the 12th international conference on Information hiding
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Robust clock tree synthesis with timing yield optimization for 3D-ICs
Proceedings of the 16th Asia and South Pacific Design Automation Conference
Robust spatial correlation extraction with limited sample via L1-norm penalty
Proceedings of the 16th Asia and South Pacific Design Automation Conference
Hybrid modeling of non-stationary process variations
Proceedings of the 48th Design Automation Conference
Collaborative calibration of on-chip thermal sensors using performance counters
Proceedings of the International Conference on Computer-Aided Design
Spatial correlation modeling for probe test cost reduction in RF devices
Proceedings of the International Conference on Computer-Aided Design
Handling discontinuous effects in modeling spatial correlation of wafer-level analog/RF tests
Proceedings of the Conference on Design, Automation and Test in Europe
Proceedings of the Conference on Design, Automation and Test in Europe
Run-time probabilistic detection of miscalibrated thermal sensors in many-core systems
Proceedings of the Conference on Design, Automation and Test in Europe
Enhanced metamodeling techniques for high-dimensional IC design estimation problems
Proceedings of the Conference on Design, Automation and Test in Europe
An efficient method for analyzing on-chip thermal reliability considering process variations
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Hi-index | 0.00 |
Many characteristics of VLSI designs, such as process variations, demonstrate strong spatial correlations. Accurately modeling of these correlated behaviors is crucial for many timing and power analyses to be valid. This paper proposes a new spatial model with a long-range trend component, a smooth correlation component, as well as a truly random component. The efficient method to construct such a spatial model is based on the Generalized Least Square fitting and the structured correlation functions, which are actually the generalization of the popular Pelgrom mismatch models. Experimental results on industrial benchmarks show that the method is not only highly effective for variability modeling, but can also be used for other spatially distributed characteristics such as IR drops and on-chip temperature distributions.