BLoG: post-silicon bug localization in processors using bug localization graphs

  • Authors:
  • Sung-Boem Park;Anne Bracy;Hong Wang;Subhasish Mitra

  • Affiliations:
  • Stanford University, Stanford, CA and Intel Corporation, Santa Clara, CA;Intel Corporation, Santa Clara, CA and Washington University in St. Louis, St. Louis, MO;Intel Corporation, Santa Clara, CA;Stanford University, Stanford, CA

  • Venue:
  • Proceedings of the 47th Design Automation Conference
  • Year:
  • 2010

Quantified Score

Hi-index 0.00

Visualization

Abstract

Post-silicon bug localization -- the process of identifying the location of a detected hardware bug and the cycle(s) during which the bug produces error(s) -- is a major bottleneck for complex integrated circuits. Instruction Footprint Recording and Analysis (IFRA) is a promising post-silicon bug localization technique for complex processor cores. However, applying IFRA to new processor microarchitectures can be challenging due to the manual effort required to implement special microarchitecture-dependent analysis techniques for bug localization. This paper presents the Bug Localization Graph (BLoG) framework that enables application of IFRA to new processor microarchitectures with reduced manual effort. Results obtained from an industrial microarchitectural simulator modeling a state-of-the-art complex commercial microarchitecture (Intel Nehalem, the foundation for the Intel Core™ i7 and Core™ i5 processor families) demonstrate that BLoG-assisted IFRA enables effective and efficient post-silicon bug localization for complex processors with high bug localization accuracy at low cost.