Gate-sizing-based single Vdd test for bridge defects in multivoltage designs

  • Authors:
  • Saqib Khursheed;Bashir M. Al-Hashimi;Krishnendu Chakrabarty;Peter Harrod

  • Affiliations:
  • School of Electronics and Computer Science, University of Southampton, Southampton, UK;School of Electronics and Computer Science, University of Southampton, Southampton, UK;Department of Electrical and Computer Engineering, Duke University, Durham, NC;ARM Ltd., Cambridge, UK

  • Venue:
  • IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
  • Year:
  • 2010

Quantified Score

Hi-index 0.03

Visualization

Abstract

The use of multiple voltage settings for dynamic power management is an effective design technique. Recent research has shown that testing for resistive bridging faults in such designs requires more than one voltage setting for 100% fault coverage; however, switching between several supply voltage settings has a detrimental impact on the overall cost of test. This paper proposes an effective gate sizing technique for reducing test cost of multi-Vdd designs with bridge defects. Using synthesized ISCAS and ITC benchmarks and a parametric fault model, experimental results show that for all the circuits, the proposed technique achieves single Vdd test, without affecting the fault coverage of the original test. In addition, the proposed technique performs better in terms of timing, area, and power than the recently proposed test point insertion technique. This is the first reported work that achieves single Vdd test for resistive bridge defects, without compromising fault coverage in multi-Vdd designs.