Production and Stabilization of Real-Time Task Schedules
Journal of the ACM (JACM)
Operating Systems Theory
Processor Scheduling for Linearly Connected Parallel Processors
IEEE Transactions on Computers
Static scheduling of synchronous data flow programs for digital signal processing
IEEE Transactions on Computers
Scheduling in multiprogrammed parallel systems
SIGMETRICS '88 Proceedings of the 1988 ACM SIGMETRICS conference on Measurement and modeling of computer systems
On optimal loop parallelization
MICRO 22 Proceedings of the 22nd annual workshop on Microprogramming and microarchitecture
IEEE Transactions on Software Engineering
Processor allocation and loop scheduling on multiprocessor computers
ICS '92 Proceedings of the 6th international conference on Supercomputing
Proceedings of the 1992 ACM/IEEE conference on Supercomputing
Techniques for integrating parallelizing transformations and compiler-based scheduling methods
Proceedings of the 1992 ACM/IEEE conference on Supercomputing
Models of machines and computation for mapping in multicomputers
ACM Computing Surveys (CSUR)
Efficient software-based fault isolation
SOSP '93 Proceedings of the fourteenth ACM symposium on Operating systems principles
Performance Evaluation of Scheduling Precedence-Constrained Computations on Message-Passing Systems
IEEE Transactions on Parallel and Distributed Systems
Iterative modulo scheduling: an algorithm for software pipelining loops
MICRO 27 Proceedings of the 27th annual international symposium on Microarchitecture
IEEE Transactions on Parallel and Distributed Systems
Extending microcode compaction for real architectures
MICRO 20 Proceedings of the 20th annual workshop on Microprogramming
Thread partitioning and scheduling based on cost model
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
On Parallelization of Static Scheduling Algorithms
IEEE Transactions on Software Engineering
Optimal Scheduling Algorithm for Distributed-Memory Machines
IEEE Transactions on Parallel and Distributed Systems
How “hard” is thread partitioning and how “bad” is a list scheduling based partitioning algorithm?
Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures
Using value prediction to increase the power of speculative execution hardware
ACM Transactions on Computer Systems (TOCS)
On Parallelizing the Multiprocessor Scheduling Problem
IEEE Transactions on Parallel and Distributed Systems
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
A recursive time estimation algorithm for program traces under resource constraints
SAC '98 Proceedings of the 1998 ACM symposium on Applied Computing
Booking heterogeneous processor resources to reduce communication overhead
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
Static scheduling algorithms for allocating directed task graphs to multiprocessors
ACM Computing Surveys (CSUR)
Deterministic Processor Scheduling
ACM Computing Surveys (CSUR)
Local Microcode Compaction Techniques
ACM Computing Surveys (CSUR)
Efficient Local Search for DAG Scheduling
IEEE Transactions on Parallel and Distributed Systems
A duplication based compile time scheduling method for task parallelism
Compiler optimizations for scalable parallel systems
SOS: synthesis of application-specific heterogeneous multiprocessor systems
Readings in hardware/software co-design
Low-Cost Task Scheduling for Distributed-Memory Machines
IEEE Transactions on Parallel and Distributed Systems
The design and evaluation of a virtual distributed computing environment
Cluster Computing
A Robust Compile Time Method for SchedulingTask Parallelism on Distributed Memory Machines
The Journal of Supercomputing
Parallax: A Tool for Parallel Program Scheduling
IEEE Parallel & Distributed Technology: Systems & Technology
CASCH: A Tool for Computer-Aided Scheduling
IEEE Concurrency
Gabriel: A Design Environment for DSP
IEEE Micro
Grain Size Determination for Parallel Processing
IEEE Software
Hypertool: A Programming Aid for Message-Passing Systems
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Declustering: A New Multiprocessor Scheduling Technique
IEEE Transactions on Parallel and Distributed Systems
Analysis of Macro-Dataflow Dynamic Scheduling on Nonuniform Memory Access Architectures
IEEE Transactions on Parallel and Distributed Systems
A Genetic Algorithm for Multiprocessor Scheduling
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Journal of Parallel and Distributed Computing - Problems in parallel and distributed computing: Solutions based on evolutionary paradigms
Multiprocessor Join Scheduling
IEEE Transactions on Knowledge and Data Engineering
Performance of Evolutionary Approaches for Parallel Task Scheduling under Different Representations
Proceedings of the Applications of Evolutionary Computing on EvoWorkshops 2002: EvoCOP, EvoIASP, EvoSTIM/EvoPLAN
A Global Computing Environment for Networked Resources
ICPP '97 Proceedings of the international Conference on Parallel Processing
Decisive Path Scheduling: A New List Scheduling Method
ICPP '97 Proceedings of the international Conference on Parallel Processing
DFRN: A New Approach for Duplication Based Scheduling for Distributed Memory Multiprocessor Systems
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Preemptive Task Scheduling for Distributed Systems (Research Note)
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
Automatic Parallelization and Scheduling of Programs on Multiprocessors using CASCH
ICPP '97 Proceedings of the international Conference on Parallel Processing
A fast task-to-processor assignment heuristic for real-time multiprocessor DSP applications
Computers and Operations Research
Predicate-aware scheduling: a technique for reducing resource constraints
Proceedings of the international symposium on Code generation and optimization: feedback-directed and runtime optimization
Static Scheduling of Instructions on Micronet-based Asynchronous Processors
ASYNC '96 Proceedings of the 2nd International Symposium on Advanced Research in Asynchronous Circuits and Systems
Critical path driven cosynthesis for heterogeneous target architectures
CODES '97 Proceedings of the 5th International Workshop on Hardware/Software Co-Design
A Dynamic Matching and Scheduling Algorithm for Heterogeneous Computing Systems
HCW '98 Proceedings of the Seventh Heterogeneous Computing Workshop
A Unified Resource Scheduling Framework for Heterogeneous Computing Environments
HCW '99 Proceedings of the Eighth Heterogeneous Computing Workshop
MICRO 14 Proceedings of the 14th annual workshop on Microprogramming
Scheduling partially ordered tasks with probabilistic execution times
SOSP '75 Proceedings of the fifth ACM symposium on Operating systems principles
A Performance Evaluation of CP List Scheduling Heuristics for Communication Intensive Task Graphs
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
IEEE Transactions on Parallel and Distributed Systems
Multi-heuristic list scheduling genetic algorithm for task scheduling
Proceedings of the 2003 ACM symposium on Applied computing
On Task Scheduling Accuracy: Evaluation Methodology and Results
The Journal of Supercomputing
A New Task Scheduling Method for Distributed Programs which Require Memory Management in Grids
SAINT-W '04 Proceedings of the 2004 Symposium on Applications and the Internet-Workshops (SAINT 2004 Workshops)
IEEE Transactions on Parallel and Distributed Systems
Power Supply Noise-Aware Scheduling and Allocation for DSP Synthesis
ISQED '05 Proceedings of the 6th International Symposium on Quality of Electronic Design
Instruction scheduling using MAX-MIN ant system optimization
GLSVLSI '05 Proceedings of the 15th ACM Great Lakes symposium on VLSI
Communication Contention in Task Scheduling
IEEE Transactions on Parallel and Distributed Systems
Value-maximizing deadline scheduling and its application to animation rendering
Proceedings of the seventeenth annual ACM symposium on Parallelism in algorithms and architectures
Journal of Parallel and Distributed Computing
Toward a Realistic Task Scheduling Model
IEEE Transactions on Parallel and Distributed Systems
Comparison of genetic representation schemes for scheduling soft real-time parallel applications
Proceedings of the 8th annual conference on Genetic and evolutionary computation
Scheduling workflow applications on processors with different capabilities
Future Generation Computer Systems - Collaborative and learning applications of grid technology
Compiling for stream processing
Proceedings of the 15th international conference on Parallel architectures and compilation techniques
Job scheduling methods for reducing waiting time variance
Computers and Operations Research
Automatic choice of scheduling heuristics for parallel/distributed computing
Scientific Programming
An architectural co-synthesis algorithm for energy-aware network-on-chip design
Proceedings of the 2007 ACM symposium on Applied computing
An Improved Augmented Neural-Network Approach for Scheduling Problems
INFORMS Journal on Computing
GridRod: a dynamic runtime scheduler for grid workflows
Proceedings of the 21st annual international conference on Supercomputing
A comparison of multiprocessor task scheduling algorithms with communication costs
Computers and Operations Research
A simulation of power-aware scheduling of task graphs to multiple processors
MOAS'07 Proceedings of the 18th conference on Proceedings of the 18th IASTED International Conference: modelling and simulation
Some Experiments in Local Microcode Compaction for Horizontal Machines
IEEE Transactions on Computers
Trace Scheduling: A Technique for Global Microcode Compaction
IEEE Transactions on Computers
Global Compaction of Horizontal Microprograms Based on the Generalized Data Dependency Graph
IEEE Transactions on Computers
Practical Multiprocessor Scheduling Algorithms for Efficient Parallel Processing
IEEE Transactions on Computers
A Preliminary Evaluation of the Critical Path Method for Scheduling Tasks on Multiprocessor Systems
IEEE Transactions on Computers
Push-Pull: Deterministic Search-Based DAG Scheduling for Heterogeneous Cluster Systems
IEEE Transactions on Parallel and Distributed Systems
A performance study of multiprocessor task scheduling algorithms
The Journal of Supercomputing
International Journal of High Performance Computing Applications
An Efficient Quantum-Behaved Particle Swarm Optimization for Multiprocessor Scheduling
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part I: ICCS 2007
Dynamic self-scheduling for parallel applications with task dependencies
Proceedings of the 6th international workshop on Middleware for grid computing
Resource allocation algorithm for light communication grid-based workflows within an SLA context
International Journal of Parallel, Emergent and Distributed Systems
Proceedings of the 14th ACM SIGPLAN symposium on Principles and practice of parallel programming
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
An architectural co-synthesis algorithm for energy-aware Network-on-Chip design
Journal of Systems Architecture: the EUROMICRO Journal
Performance under Failures of DAG-based Parallel Computing
CCGRID '09 Proceedings of the 2009 9th IEEE/ACM International Symposium on Cluster Computing and the Grid
Improving the computation of lower bounds for optimal schedules
IBM Journal of Research and Development
Computation of lower bounds for multiprocessor schedules
IBM Journal of Research and Development
A simulation of power-aware scheduling of task graphs to multiple processors
MS '07 The 18th IASTED International Conference on Modelling and Simulation
Scheduling workflow applications on processors with different capabilities
Future Generation Computer Systems - Collaborative and learning applications of grid technology
Generational scheduling for dynamic task management in heterogeneous computing systems
Information Sciences: an International Journal
A hybrid algorithm for scheduling workflow applications in grid environments (ICPDP)
OTM'07 Proceedings of the 2007 OTM confederated international conference on On the move to meaningful internet systems: CoopIS, DOA, ODBASE, GADA, and IS - Volume Part II
Journal of Systems and Software
A dependent tasks scheduling model in grid
APWeb'08 Proceedings of the 10th Asia-Pacific web conference on Progress in WWW research and development
LATA: a latency and throughput-aware packet processing system
Proceedings of the 47th Design Automation Conference
Performance yield-driven task allocation and scheduling for MPSoCs under process variation
Proceedings of the 47th Design Automation Conference
Reliability-aware scheduling strategy for heterogeneous distributed computing systems
Journal of Parallel and Distributed Computing
Towards Energy Aware Scheduling for Precedence Constrained Parallel Tasks in a Cluster with DVFS
CCGRID '10 Proceedings of the 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing
PM-COSYN: PE and memory co-synthesis for MPSoCs
Proceedings of the Conference on Design, Automation and Test in Europe
Contention-aware scheduling with task duplication
Journal of Parallel and Distributed Computing
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
BTS: Resource capacity estimate for time-targeted science workflows
Journal of Parallel and Distributed Computing
Cost optimized provisioning of elastic resources for application workflows
Future Generation Computer Systems
Scheduling concurrent workflows in HPC cloud through exploiting schedule gaps
ICA3PP'11 Proceedings of the 11th international conference on Algorithms and architectures for parallel processing - Volume Part I
Advanced reservation-based scheduling of task graphs on clusters
HiPC'06 Proceedings of the 13th international conference on High Performance Computing
An extended evaluation of two-phase scheduling methods for animation rendering
JSSPP'05 Proceedings of the 11th international conference on Job Scheduling Strategies for Parallel Processing
Average schedule length and resource selection policies on computational grids
GPC'06 Proceedings of the First international conference on Advances in Grid and Pervasive Computing
Distributed memory interface synthesis for network-on-chips with 3D-stacked DRAMs
Proceedings of the International Conference on Computer-Aided Design
Integration of Dataflow-Based Heterogeneous Multiprocessor Scheduling Techniques in GNU Radio
Journal of Signal Processing Systems
Libra: Tailoring SIMD Execution Using Heterogeneous Hardware and Dynamic Configurability
MICRO-45 Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture
Proceedings of the Conference on Design, Automation and Test in Europe
Orchestrating stream graphs using model checking
ACM Transactions on Architecture and Code Optimization (TACO)
Journal of Systems Architecture: the EUROMICRO Journal
Hi-index | 48.24 |
The problem of scheduling two or more processors to minimize the execution time of a program which consists of a set of partially ordered tasks is studied. Cases where task execution times are deterministic and others in which execution times are random variables are analyzed. It is shown that different algorithms suggested in the literature vary significantly in execution time and that the B-schedule of Coffman and Graham is near-optimal. A dynamic programming solution for the case in which execution times are random variables is presented.