Delay Testing Considering Crosstalk-Induced Effects

  • Authors:
  • Angela Krstic;Jing-Jia Liou;Yi-Min Jiang;Kwang-Ting (Tim) Cheng

  • Affiliations:
  • -;-;-;-

  • Venue:
  • ITC '01 Proceedings of the 2001 IEEE International Test Conference
  • Year:
  • 2001

Quantified Score

Hi-index 0.00

Visualization

Abstract

The increased noise/interference effects, such ascrosstalk, power supply noise, substrate noise anddistributed delay variations lead to increased signalintegrity problems in deep submicron designs. Theseproblems can cause logic errors and/or performancedegradation and need to be addressed both in the design for deep submicron and testing for deep submicron phase. Existing delay testing techniques cannotcapture the effects of noise on the cell/interconnectdelays. In his paper, we address the problem ofdelay testing considering crosstalk-induced delay effects. We propose solutions for target fault selection and pattern generation. The key elements ofour strategy are performance sensitivity analysis withrespect to crosstalk noise and a Genetic Algorithm(GA) based vector generation technique. The roleof performance sensitivity analysis is to consider theeffects of crosstalk noise during the target fault selection process. Next, for each selected fault consistingof a path and a set of crosstalk noise sources interacting with the path, we apply our iterative GA-basedpattern generation process. Our goal is to derive atest that produces large crosstalk-induced delay effect on the given path. Our technique allows considering any number of coupling sources along the targetpath. Due to its flexibility, efficiency and scalability,the technique can be applied to large circuits.