An efficient technology mapping algorithm targeting routing congestion under delay constraints

  • Authors:
  • Rupesh S. Shelar;Prashant Saxena;Xinning Wang;Sachin S. Sapatnekar

  • Affiliations:
  • Intel Corporation, Hillsboro, OR;Synopsys Inc., Hillsboro, OR;Intel Corporation, Hillsboro, OR;University of Minnesota, Minneapolis, MN

  • Venue:
  • Proceedings of the 2005 international symposium on Physical design
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

Routing congestion has become a serious concern in today's VLSI designs. In this paper, we propose a technology mapping algorithm that minimizes routing congestion under delay constraints. The algorithm employs a dynamic programming framework in the matching phase to generate probabilistic congestion maps for all the matches. These congestion maps are then utilized to minimize routing congestion during the covering, which preserves the delay-optimality of the solution using the notion of slack. Experimental results on benchmark circuits in a 100 nm technology show that the algorithm can improve track overflows by 44%, on an average, as compared to the conventional technology mapping while satisfying delay constraints.