Applying Resource Sharing Algorithms to ADL-driven Automatic ASIP Implementation

  • Authors:
  • E. M. Witte;A. Chattopadhyay;O. Schliebusch;D. Kammler

  • Affiliations:
  • Institute for Integrated Signal Processing Systems RWTH Aachen University, Aachen, Germany;Institute for Integrated Signal Processing Systems RWTH Aachen University, Aachen, Germany;Institute for Integrated Signal Processing Systems RWTH Aachen University, Aachen, Germany;Institute for Integrated Signal Processing Systems RWTH Aachen University, Aachen, Germany

  • Venue:
  • ICCD '05 Proceedings of the 2005 International Conference on Computer Design
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

Presently, Architecture Description Languages (ADLs) are widely used to raise the abstraction level of the design space exploration of Application Specific Instruction-set Processors (ASIPs), benefiting from automatically generated software tool suite and RTL implementation. The increase of abstraction level and automated implementation traditionally comes at the cost of low area, delay or power efficiency. The standard synthesis flow starting at RTL abstraction fails to compensate for this loss of performance. Thus, high level optimizations during RTL synthesis from ADLs are obligatory. Currently, ADL-based optimization schemes do not perform resource sharing. In this paper, we present an iterative algorithm for performing resource sharing on the basis of global data flow graph matching criteria. This ADL-based resource sharing optimization is performed over a RISC and a VLIW architecture and two industrial embedded processors. The results indicate a significant improvement in overall performance. A comparative study with manually written RTL code is presented, too.