Reducing energy consumption of queries in memory-resident database systems
Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systems
Mapping and configuration methods for multi-use-case networks on chips
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Variability driven gate sizing for binning yield optimization
Proceedings of the 43rd annual Design Automation Conference
Slew-aware clock tree design for reliable subthreshold circuits
Proceedings of the 14th ACM/IEEE international symposium on Low power electronics and design
Architecture Design for Soft Errors
Architecture Design for Soft Errors
Hardware accelerated FPGA placement
Microelectronics Journal
0.6V correlators for WLAN receivers
SARNOFF'09 Proceedings of the 32nd international conference on Sarnoff symposium
Multicore processing and efficient on-chip caching for H.264 and future video decoders
IEEE Transactions on Circuits and Systems for Video Technology
A novel overlap-based logic cell: an efficient implementation of flip-flops with embedded logic
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Intel LVS logic as a combinational logic paradigm in CNT technology
Proceedings of the 2010 IEEE/ACM International Symposium on Nanoscale Architectures
Proceedings of the 47th Design Automation Conference
Stability and operation of injection-locked regenerative frequency dividers
IEEE Transactions on Circuits and Systems Part I: Regular Papers
A general mathematical model of probabilistic ripple-carry adders
Proceedings of the Conference on Design, Automation and Test in Europe
A Split-Decoding Message Passing Algorithm for Low Density Parity Check Decoders
Journal of Signal Processing Systems
Design of output buffer with low switching noise and load adaptability
Analog Integrated Circuits and Signal Processing
Understanding the effect of process variations on the delay of static and domino logic
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Fabrication cost analysis and cost-aware design space exploration for 3-D ICs
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Adaptive Flow Control for Robust Performance and Energy
MICRO '43 Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
Using carbon nanotube in digital memories
NANOARCH '09 Proceedings of the 2009 IEEE/ACM International Symposium on Nanoscale Architectures
Leakage conscious DVS scheduling for peak temperature minimization
Proceedings of the 16th Asia and South Pacific Design Automation Conference
OPAL: a multi-layer hybrid photonic NoC for 3D ICs
Proceedings of the 16th Asia and South Pacific Design Automation Conference
Obstacle-aware clock-tree shaping during placement
Proceedings of the 2011 international symposium on Physical design
A new and extended fault analysis on RSA
Proceedings of the 6th ACM Symposium on Information, Computer and Communications Security
Reconfigurable controllers for synchronization via wagging
Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI
StarPlace: A new analytic method for FPGA placement
Integration, the VLSI Journal
Design of sequential elements for low power clocking system
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
TR-FSM: Transition-Based reconfigurable finite state machine
ACM Transactions on Reconfigurable Technology and Systems (TRETS)
Half-Rate Duobinary Transmitter Architecture for Chip-to-Chip Interconnect Applications
Analog Integrated Circuits and Signal Processing
Nanoscale Application Specific Integrated Circuits
NANOARCH '11 Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures
Modeling and Designing for Accuracy and Energy Efficiency in Wireless Electroencephalography Systems
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Quantum Gate Circuit Model of Signal Integration in Bacterial Quorum Sensing
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
A dedicated reconfigurable architecture for finite state machines
ARC'10 Proceedings of the 6th international conference on Reconfigurable Computing: architectures, Tools and Applications
Modeling the computational efficiency of 2-D and 3-D silicon processors for early-chip planning
Proceedings of the International Conference on Computer-Aided Design
A low-swing crossbar and link generator for low-power networks-on-chip
Proceedings of the International Conference on Computer-Aided Design
Wired: wire-aware circuit design
CHARME'05 Proceedings of the 13 IFIP WG 10.5 international conference on Correct Hardware Design and Verification Methods
Desynchronization: design for verification
Proceedings of the International Conference on Formal Methods in Computer-Aided Design
Three-dimensional Integrated Circuits: Design, EDA, and Architecture
Foundations and Trends in Electronic Design Automation
Assessing the performance limits of parallelized near-threshold computing
Proceedings of the 49th Annual Design Automation Conference
Journal of Signal Processing Systems
On the fundamentals of leakage aware real-time DVS scheduling for peak temperature minimization
Journal of Systems Architecture: the EUROMICRO Journal
Interconnect optimization to enhance the performance of subthreshold circuits
Microelectronics Journal
Towards structured ASICs using polarity-tunable Si nanowire transistors
Proceedings of the 50th Annual Design Automation Conference
Design of cross-point metal-oxide ReRAM emphasizing reliability and cost
Proceedings of the International Conference on Computer-Aided Design
Journal of Electronic Testing: Theory and Applications
Hi-index | 0.00 |
Progressive in content and form, this practical book successfully bridges the gap between the circuit perspective and system perspective of digital integrated circuit design. Digital Integrated Circuits maintains a consistent, logical flow of subject matter throughout. Addresses today's most significant and compelling industry topics, including: the impact of interconnect, design for low power, issues in timing and clocking, design methodologies, and the tremendous effect of design automation on the digital design perspective. For readers interested in digital circuit design.