Timing and crosstalk driven area routing

  • Authors:
  • Hsiao-Ping Tseng;Louis Scheffer;Carl Sechen

  • Affiliations:
  • University of Washington, Dept. of Electrical Engineering, Seattle, WA;Cadence Design Systems, Inc., 555 River Oaks Parkway, Bldg. 2, MS2B2, San Jose, CA;University of Washington, Dept. of Electrical Engineering, Seattle, WA

  • Venue:
  • DAC '98 Proceedings of the 35th annual Design Automation Conference
  • Year:
  • 1998

Quantified Score

Hi-index 0.00

Visualization

Abstract

We present a timing and crosstalk driven router for the chip assembly task that is applied between global and detailed routing. Our new approach aims to process the crosstalk and timing constraints by ordering nets and tuning wire spacing in a quantitative way. Our graph-based optimizer preroutes wires on the global routing grids incrementally in two stages - net order assignment and space relaxation. The timing delay of each critical path is calculated taking into account interconnect coupling capacitance. The objective is to reduce the delays of critical nets with negative timing slack values, by tuning net ordering and adding extra wire spacing. It shows a remarkable 8.4-25% delay reduction for MCNC benchmarks for wire geometric ratio=2.0, against a 33% delay reduction if interconnect interference disappear.