Testability Trade-Offs for BIST Data Paths

  • Authors:
  • Nicola Nicolici;Bashir M. Al-Hashimi

  • Affiliations:
  • Computer-Aided Design and Test Group, Department of Electrical & Computer Engineering, McMaster University, Hamilton, ON L8S 4K1, Canada. nicola@ece.mcmaster.ca WWW: http ...;Electronic Systems Design Group, Department of Electronics and Computer Science, University of Southampton, Southampton SO17 1BJ, U.K. bmah@ecs.soton.ac.uk WWW: http://www.ec ...

  • Venue:
  • Journal of Electronic Testing: Theory and Applications
  • Year:
  • 2004

Quantified Score

Hi-index 0.00

Visualization

Abstract

Power dissipation during test application is an emerging problem due to yield and reliability concerns. This paper focuses on BIST for RTL data paths and discusses testability trade-offs in terms of test application time, BIST area overhead and power dissipation.