X-Masking During Logic BIST and Its Impact on Defect Coverage

  • Authors:
  • Yuyi Tang;Hans-Joachim Wunderlich;Harald Vranken;Friedrich Hapke;Michael Wittke;Piet Engelke;Ilia Polian;Bernd Becker

  • Affiliations:
  • University of Stuttgart, Germany;University of Stuttgart, Germany;Philips Research Laboratories, The Netherlands;Philips Semiconductors GmbH, Hamburg, Germany;Philips Semiconductors GmbH, Hamburg, Germany;Albert-Ludwigs-University, Germany;Albert-Ludwigs-University, Germany;Albert-Ludwigs-University, Germany

  • Venue:
  • ITC '04 Proceedings of the International Test Conference on International Test Conference
  • Year:
  • 2004

Quantified Score

Hi-index 0.00

Visualization

Abstract

We present a technique for making a circuit ready for Logic BIST by masking unknown values at its outputs. In order to keep the silicon area cost low, some known bits in output responses are also allowed to be masked. These bits are selected based on a stuck-at n-detection based metric, such that the impact of masking on the defect coverage is minimal. An analysis based on a probabilistic model for resistive short defects indicates that the coverage loss for unmodeled defects is negligible for relatively low values of n.