Layout-aware scan chain reorder for launch-off-shift transition test coverage

  • Authors:
  • Sying-Jyan Wang;Kuo-Lin Peng;Kuang-Cyun Hsiao;Katherine Shu-Min Li

  • Affiliations:
  • National Chung-Hsing University, Taichung, Taiwan;National Chung-Hsing University, Taichung, Taiwan;National Chung-Hsing University, Taichung, Taiwan;National Sun Yat-Sen University, Kaohsiung, Taiwan

  • Venue:
  • ACM Transactions on Design Automation of Electronic Systems (TODAES)
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

Launch-off-shift (LOS) is a popular delay test technique for scan-based designs. However, it is usually not possible to achieve good delay fault coverage in LOS test due to conflicts in test vectors. In this article, we propose a layout-based scan chain ordering method to improve fault coverage for LOS test with limited routing overhead. A fast and effective algorithm is used to eliminate conflicts in test vectors while at the same time restrict the extra scan chain routing. This approach provides many advantages. (1) The proposed method can improve delay fault coverage for LOS test. (2) With layout information taken into account, the routing penalty is limited, and thus the impact on circuit performance will not be significant. Experimental results show that the proposed LOS test method achieves about the same level of delay fault coverage as enhanced scan does, while the average scan chain wire length is about 2.2 times of the shortest scan chain.