Profit aware circuit design under process variations considering speed binning

  • Authors:
  • Animesh Datta;Swarup Bhunia;Jung Hwan Choi;Saibal Mukhopadhyay;Kaushik Roy

  • Affiliations:
  • Qualcomm Inc, San Diego, CA;Electrical Engineering and Computer Science Department, Case Western Reserve University, Cleveland, OH;Electrical and Computer Engineering Department, Purdue University, West Lafayette, IN;Electrical and Computer Engineering Department, Georgia Institute of Technology, Atlanta, GA;Electrical and Computer Engineering Department, Purdue University, West Lafayette, IN

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

In this paper, a profit-aware design metric is proposed to consider the overall merit of a design in terms of power and performance. A statistical design methodology is then developed to improve the economic merit of a design considering frequency binning and product price profile. A low-complexity sensitivity-based gate sizing algorithm is developed to improve economic gain of a design over its initial yield-optimized design. Finally, we present an integrated design methodology for simultaneous sizing and bin boundary determination to enhance profit under an area constraint. Experiments on a set of ISCAS'85 benchmarks show in average 19% improvement in profit for simultaneous sizing and bin boundary determination, considering both leakage power dissipation and delay bounds compared to a design initially optimized for 90% yield at iso-area in 70-nm bulk CMOS technology.