Thermal optimization in multi-granularity multi-core floorplanning

  • Authors:
  • Michael B. Healy;Hsien-Hsin S. Lee;Gabriel H. Loh;Sung Kyu Lim

  • Affiliations:
  • School of Electrical and Computer Engineering;School of Electrical and Computer Engineering;Georgia Institute of Technology;School of Electrical and Computer Engineering

  • Venue:
  • Proceedings of the 2009 Asia and South Pacific Design Automation Conference
  • Year:
  • 2009

Quantified Score

Hi-index 0.00

Visualization

Abstract

Multi-core microarchitectures require a careful balance between many competing objectives to achieve the highest possible performance. Integrated Early Analysis is the consideration of all of these factors at an early stage. Toward this goal, this work presents the first adaptive multi-granularity multi-core microarchitecture-level floorplanner that simultaneously optimizes temperature and performance, and considers memory bus length. We include simultaneous optimization at both the module-level and the core/cache-bank level. Related experiments show that our methodology is effective for optimizing multi-core architectures.