Design and implementation of an operating system for composable processor sharing

  • Authors:
  • Andreas Hansson;Marcus Ekerhult;Anca Molnos;Aleksandar Milutinovic;Andrew Nelson;Jude Ambrose;Kees Goossens

  • Affiliations:
  • University of Twente, Enschede, The Netherlands;Lund Institute of Technology, Lund, Sweden;Delft University of Technology, Delft, The Netherlands;University of Twente, Enschede, The Netherlands;Delft University of Technology, Delft, The Netherlands;Delft University of Technology, Delft, The Netherlands;Eindhoven University of Technology, Eindhoven, The Netherlands

  • Venue:
  • Microprocessors & Microsystems
  • Year:
  • 2011

Quantified Score

Hi-index 0.00

Visualization

Abstract

Multi-Processor Systems on Chip (MPSoC) run multiple independent applications, often developed by different parties. The applications share the hardware resources, e.g. processors, memories and interconnect. The sharing typically causes interference between the applications, which severely complicates system integration and verification. Even if the applications are verified in isolation, the system designer must verify the combined behaviour, leading to an explosion in design complexity. Composable MPSoCs have no interference between applications, thus allowing independent design and verification. For an MPSoC to be composable, all the hardware resources must offer composability. A particularly challenging resource is the processors, often purchased as off-the-shelf intellectual property. In this work we present the design and implementation of CompOSe, a light-weight (only 1500 lines of code) composable operating system for MPSoCs. CompOSe uses fixed-size time slices, coupled with a composable scheduler, to enable composable processor sharing. Using instances of ARM7, ARM11 and the Xilinx MicroBlaze we experimentally demonstrate the ability to provide temporal composability, even in the presence of dynamic application behaviour and multiple use cases. We do so using a diverse set of processor architectures, without requiring any hardware modifications. We also show how CompOSe allows slack to be distributed within and between applications through a novel two-level scheduler and slack-distribution system.