Parametric yield-driven resource binding in high-level synthesis with multi-Vth/Vdd library and device sizing

  • Authors:
  • Yibo Chen;Yu Wang;Yuan Xie;Andres Takach

  • Affiliations:
  • Department of Computer Science and Engineering, The Pennsylvania State University, PA;Department of Electronics Engineering, Tsinghua University, Beijing, China;Department of Computer Science and Engineering, The Pennsylvania State University, PA;Design Creation and Synthesis, Mentor Graphics Corporation, Wilsonville, OR

  • Venue:
  • Journal of Electrical and Computer Engineering - Special issue on ESL Design Methodology
  • Year:
  • 2012

Quantified Score

Hi-index 0.00

Visualization

Abstract

The ever-increasing chip power dissipation in SoCs has imposed great challenges on today's circuit design. It has been shown that multiple threshold and supply voltages assignment (multi-Vth/Vdd) is an effective way to reduce power dissipation. However, most of the prior multi-Vth/Vdd optimizations are performed under deterministic conditions. With the increasing process variability that has significant impact on both the power dissipation and performance of circuit designs, it is necessary to employ statistical approaches in analysis and optimizations for low power. This paper studies the impact of process variations on the multi-Vth/Vdd technique at the behavioral synthesis level. A multi-Vth/Vdd resource library is characterized for delay and power variations at different voltage combinations. Meanwhile, device sizing is performed on the resources in the library to mitigate the impact of variation, and to enlarge the design space for better quality of the design choice. A parametric yield-driven resource binding algorithm is then proposed, which uses the characterized power and delay distributions and efficiently maximizes power yield under a timing yield constraint. During the resource binding process, voltage level converters are inserted between resources when required. Experimental results show that significant power reduction can be achieved with the proposed variation-aware framework, compared with traditional worstcase based deterministic approaches.