Scheduling-based test-case generation for verification of multimedia SoCs
Proceedings of the 43rd annual Design Automation Conference
Harnessing Machine Learning to Improve the Success Rate of Stimuli Generation
IEEE Transactions on Computers
Active sampling for multiple output identification
Machine Learning
Functional Verification of Power Gated Designs by Compositional Reasoning
CAV '08 Proceedings of the 20th international conference on Computer Aided Verification
ALT '08 Proceedings of the 19th international conference on Algorithmic Learning Theory
Improving functional verification of embedded systems using hierarchical composition and set theory
Proceedings of the 2009 ACM symposium on Applied Computing
Automatic Boosting of Cross-Product Coverage Using Bayesian Networks
HVC '08 Proceedings of the 4th International Haifa Verification Conference on Hardware and Software: Verification and Testing
Hardware-less testing for RAS software
SYSTOR '09 Proceedings of SYSTOR 2009: The Israeli Experimental Systems Conference
A PD-based methodology to enhance efficiency in testbenches with random stimulation
Proceedings of the 22nd Annual Symposium on Integrated Circuits and System Design: Chip on the Dunes
Functional verification of power gated designs by compositional reasoning
Formal Methods in System Design
A novel verification technique to uncover out-of-order DUV behaviors
Proceedings of the 46th Annual Design Automation Conference
Using linear programming techniques for scheduling-based random test-case generation
HVC'06 Proceedings of the 2nd international Haifa verification conference on Hardware and software, verification and testing
Using virtual coverage to hit hard-to-reach events
HVC'07 Proceedings of the 3rd international Haifa verification conference on Hardware and software: verification and testing
Efficient automatic STE refinement using responsibility
TACAS'08/ETAPS'08 Proceedings of the Theory and practice of software, 14th international conference on Tools and algorithms for the construction and analysis of systems
Design and verification of the IBM system z10 I/O subsystem chips
IBM Journal of Research and Development
GCS: high-performance gate-level simulation with GP-GPUs
Proceedings of the Conference on Design, Automation and Test in Europe
Reaching coverage closure in post-silicon validation
HVC'10 Proceedings of the 6th international conference on Hardware and software: verification and testing
A probabilistic analysis of coverage methods
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Proceedings of the 48th Design Automation Conference
Learning microarchitectural behaviors to improve stimuli generation quality
Proceedings of the 48th Design Automation Conference
Robust partitioning for hardware-accelerated functional verification
Proceedings of the 48th Design Automation Conference
Journal of Electronic Testing: Theory and Applications
Coverage-Directed Test Generation Automated by Machine Learning -- A Review
ACM Transactions on Design Automation of Electronic Systems (TODAES)
ICFEM'11 Proceedings of the 13th international conference on Formal methods and software engineering
Active sampling for multiple output identification
COLT'06 Proceedings of the 19th annual conference on Learning Theory
Automatic refinement and vacuity detection for symbolic trajectory evaluation
CAV'06 Proceedings of the 18th international conference on Computer Aided Verification
Visualization of simulation results for the PERCS Hub chip performance verification
Proceedings of the 4th International ICST Conference on Simulation Tools and Techniques
ESL Design and Verification: A Prescription for Electronic System Level Methodology
ESL Design and Verification: A Prescription for Electronic System Level Methodology
Efficiently generating structurally complex inputs with thousands of objects
ECOOP'07 Proceedings of the 21st European conference on Object-Oriented Programming
A systematic approach to configurable functional verification of HW IP blocks at transaction level
Computers and Electrical Engineering
HVC'11 Proceedings of the 7th international Haifa Verification conference on Hardware and Software: verification and testing
Manipulation of Training Sets for Improving Data Mining Coverage-Driven Verification
Journal of Electronic Testing: Theory and Applications
Approximating checkers for simulation acceleration
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Leveraging accelerated simulation for floating-point regression
HVC'12 Proceedings of the 8th international conference on Hardware and Software: verification and testing
A novel approach for implementing microarchitectural verification plans in processor designs
HVC'12 Proceedings of the 8th international conference on Hardware and Software: verification and testing
Proceedings of the International Conference on Computer-Aided Design
Place and route for massively parallel hardware-accelerated functional verification
Proceedings of the International Conference on Computer-Aided Design
Hi-index | 0.00 |