ScanBist: A Multifrequency Scan-Based BIST Method

  • Authors:
  • Benoit Nadeau-Dostie;Dwayne Burek;Abu S. M. Hassan

  • Affiliations:
  • -;-;-

  • Venue:
  • IEEE Design & Test
  • Year:
  • 1994

Quantified Score

Hi-index 0.00

Visualization

Abstract

The authors present ScanBist, a low-overhead, scan-based built-in self-test method, along with its performance in several designs. A novel clock synchronization scheme allows at-speed testing of circuits. This design allows the testing of circuits operating at more than one frequency while retaining the combinational character of the circuit to be analyzed. We can therefore apply scan patterns that will exercise the circuit under test at the system speed, potentially providing a better coverage of delay faults when compared to other self-test methods. Modifications to an existing transition fault simulator account for cases where inputs originating from scan registers clocked at different frequencies drive a gate. We claim to detect transition faults only if the transition originates from the inputs driven by the highest frequency clock. ScanBist is useful at all levels of system packaging assuming that a standard TAP provides the control and boundary scan isolates the circuit from primary inputs and outputs during BIST mode.