VLSI Designs for Multiplication over Finite Fields GF (2m)

  • Authors:
  • Edoardo D. Mastrovito

  • Affiliations:
  • -

  • Venue:
  • AAECC-6 Proceedings of the 6th International Conference, on Applied Algebra, Algebraic Algorithms and Error-Correcting Codes
  • Year:
  • 1988

Quantified Score

Hi-index 0.04

Visualization

Abstract