TACKLING TEST TRADE-OFFS FOR BIST RTL DATA PATHS: BIST AREA OVERHEAD, TEST APPLICATION TIME AND POWER DISSIPATION

  • Authors:
  • Nicola Nicolici;Bashir M. Al-Hashimi

  • Affiliations:
  • -;-

  • Venue:
  • ITC '01 Proceedings of the 2001 IEEE International Test Conference
  • Year:
  • 2001

Quantified Score

Hi-index 0.00

Visualization

Abstract

Power dissipation during test application is an emergingproblem due to yield and reliability concerns. This paperfocuses on BIST for RTL data paths and discusses testabilitytrade-offs in terms of test application time, BIST areaoverhead and power dissipation. Using a complex validationflow and experimental data for over 30,000 testabledata paths, it is shown how test application time decreasesasymptotically when increasing power constraints. Further,it is experimentally demonstrated why power conscious testsynthesis and test scheduling algorithms are required due tolarge variations in useless power dissipation as test applicationtime decreases. Finally, while previous research hasoutlined that test application time decreases as BIST areaoverhead increases, this paper shows that in order to reachhigh quality solutions in terms of test application time andBIST area overhead under given power constraints, a threedimensional design space needs to be explored.