STARBIST: scan autocorrelated random pattern generation

  • Authors:
  • K. H. Tsai;S. Hellebrand;J. Rajski;M. Marek-Sadowska

  • Affiliations:
  • Department of Electrical and Computer Engineering, University of California, Santa Barbara, CA;Institute of Computer Structures, University of Siegen, Siegen, Germany;Mentor Graphics Corporation, Wilsonville, OR;Department of Electrical and Computer Engineering, University of California, Santa Barbara, CA

  • Venue:
  • DAC '97 Proceedings of the 34th annual Design Automation Conference
  • Year:
  • 1997

Quantified Score

Hi-index 0.00

Visualization

Abstract

This paper presents a new scan-based BIST schemewhich achieves very high fault coverage without the deficienciesof previously proposed schemes. This approach utilizes scan orderand polarity in scan synthesis, effectively converting the scanchain into a ROM capable of storing some "center" patterns fromwhich the other vectors are derived by randomly complementingsome of their coordinates. Experimental results demonstrate that avery high fault coverage can be obtained without any modificationof the mission logic, no test data to store and very simple BISThardware which does not depend on the size of the circuit.