Transient fault detection via simultaneous multithreading
Proceedings of the 27th annual international symposium on Computer architecture
Teraflops Supercomputer: Architecture and Validation of the Fault Tolerance Mechanisms
IEEE Transactions on Computers
The Design and Verification of the Rio File Cache
IEEE Transactions on Computers
Detailed design and evaluation of redundant multithreading alternatives
ISCA '02 Proceedings of the 29th annual international symposium on Computer architecture
Annals of Software Engineering
On-line Testing and Recovery in TMR Systems for Real-Time Applications
ITC '01 Proceedings of the 2001 IEEE International Test Conference
Improving availability with recursive microreboots: a soft-state system case study
Performance Evaluation - Dependable systems and networks-performance and dependability symposium (DSN-PDS) 2002: Selected papers
A Service Scheduler in a Trustworthy System
ANSS '04 Proceedings of the 37th annual symposium on Simulation
Dynamic adaptation for fault tolerance and power management in embedded real-time systems
ACM Transactions on Embedded Computing Systems (TECS)
Techniques to Reduce the Soft Error Rate of a High-Performance Microprocessor
Proceedings of the 31st annual international symposium on Computer architecture
IBM Systems Journal
Fingerprinting: bounding soft-error detection latency and bandwidth
ASPLOS XI Proceedings of the 11th international conference on Architectural support for programming languages and operating systems
Lowering power consumption in concurrent checkers via input ordering
IEEE Transactions on Very Large Scale Integration (VLSI) Systems - Nanoelectronic circuits and systems
The Complexity of Verifying Memory Coherence and Consistency
IEEE Transactions on Parallel and Distributed Systems
Deployment of Better Than Worst-Case Design: Solutions and Needs
ICCD '05 Proceedings of the 2005 International Conference on Computer Design
Fault Tolerance Techniques for the Merrimac Streaming Supercomputer
SC '05 Proceedings of the 2005 ACM/IEEE conference on Supercomputing
Cost-effective radiation hardening technique for combinational logic
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
EW 10 Proceedings of the 10th workshop on ACM SIGOPS European workshop
Exploiting redundancy to conserve energy in storage systems
SIGMETRICS '06/Performance '06 Proceedings of the joint international conference on Measurement and modeling of computer systems
Use of diversity as a defense mechanism
NSPW '05 Proceedings of the 2005 workshop on New security paradigms
Ultra low-cost defect protection for microprocessor pipelines
Proceedings of the 12th international conference on Architectural support for programming languages and operating systems
On-Chip Communication Architectures: System on Chip Interconnect
On-Chip Communication Architectures: System on Chip Interconnect
Architecting a reliable CMP switch architecture
ACM Transactions on Architecture and Code Optimization (TACO)
Probabilistic system-on-a-chip architectures
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Low-cost protection for SER upsets and silicon defects
Proceedings of the conference on Design, automation and test in Europe
Designing software components to tolerances
ACM SIGSOFT Software Engineering Notes
Performance study of Byzantine Agreement Protocol with artificial neural network
Information Sciences: an International Journal
Computing with a trillion crummy components
Communications of the ACM - ACM's plan to go online first
Online Diagnosis and Recovery: On the Choice and Impact of Tuning Parameters
IEEE Transactions on Dependable and Secure Computing
Message and time efficient consensus protocols for synchronous distributed systems
Journal of Parallel and Distributed Computing
International Journal of Distributed Sensor Networks
Specification and design considerations for reliable embedded systems
Proceedings of the conference on Design, automation and test in Europe
Two-wagons fault-tolerant ethernet networked control system
ACC'08 Proceedings of the WSEAS International Conference on Applied Computing Conference
The CRUTIAL Architecture for Critical Information Infrastructures
Architecting Dependable Systems V
StageNetSlice: a reconfigurable microarchitecture building block for resilient CMP systems
CASES '08 Proceedings of the 2008 international conference on Compilers, architectures and synthesis for embedded systems
Reliability versus performance for critical applications
Journal of Parallel and Distributed Computing
The StageNet fabric for constructing resilient multicore systems
Proceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture
Sequential element design with built-in soft error resilience
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
System-on-Chip Test Architectures: Nanometer Design for Testability
System-on-Chip Test Architectures: Nanometer Design for Testability
Architecture Design for Soft Errors
Architecture Design for Soft Errors
Post-silicon bug localization for processors using IFRA
Communications of the ACM
A survey of online failure prediction methods
ACM Computing Surveys (CSUR)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Performability analysis for fault-tolerant WiFi communication system
ETFA'09 Proceedings of the 14th IEEE international conference on Emerging technologies & factory automation
Interaction faults caused by third-party external systems: a case study and challenges
ISAS'08 Proceedings of the 5th international conference on Service availability
Fault perturbations in building sensor network data streams
International Journal of Sensor Networks
Post-silicon validation opportunities, challenges and recent advances
Proceedings of the 47th Design Automation Conference
Consistability: describing usually consistent systems
HotDep'08 Proceedings of the Fourth conference on Hot topics in system dependability
Mean time to meaningless: MTTDL, Markov models, and storage system reliability
HotStorage'10 Proceedings of the 2nd USENIX conference on Hot topics in storage and file systems
Cross-layer resilience challenges: metrics and optimization
Proceedings of the Conference on Design, Automation and Test in Europe
A highly resilient routing algorithm for fault-tolerant NoCs
Proceedings of the Conference on Design, Automation and Test in Europe
Systematic model-based safety assessment via probabilistic model checking
ISoLA'10 Proceedings of the 4th international conference on Leveraging applications of formal methods, verification, and validation - Volume Part I
A resilient on-chip router design through data path salvaging
Proceedings of the 16th Asia and South Pacific Design Automation Conference
History index of correct computation for fault-tolerant nano-computing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Architecting dependable systems with proactive fault management
Architecting dependable systems VII
FlowTrust: trust inference with network flows
Frontiers of Computer Science in China
Evaluation of p2p systems under different churn models: why we should bother
Euro-Par'11 Proceedings of the 17th international conference on Parallel processing - Volume Part I
Checkpointing for the reliability of real-time systems with on-line fault detection
EUC'05 Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing
Reliability, thermal, and power modeling and optimization
Proceedings of the International Conference on Computer-Aided Design
Invariance-based concurrent error detection for advanced encryption standard
Proceedings of the 49th Annual Design Automation Conference
Markov modelling of an 802.11-based communication system with penalties
International Journal of Systems, Control and Communications
The Performance Vulnerability of Architectural and Non-architectural Arrays to Permanent Faults
MICRO-45 Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture
Reli: hardware/software checkpoint and recovery scheme for embedded processors
DATE '12 Proceedings of the Conference on Design, Automation and Test in Europe
Wi-Fi/ZigBee coexistence for fault-tolerant building automation system
International Journal of Systems, Control and Communications
Fault-tolerant scheduling in homogeneous real-time systems
ACM Computing Surveys (CSUR)
Automated Algorithmic Error Resilience for Structured Grid Problems Based on Outlier Detection
Proceedings of Annual IEEE/ACM International Symposium on Code Generation and Optimization
A low-power instruction replay mechanism for design of resilient microprocessors
ACM Transactions on Embedded Computing Systems (TECS)
Resource failures risk assessment modelling in distributed environments
Journal of Systems and Software
Hi-index | 0.02 |