Test Infrastructure Design for the Nexperia" Home Platform PNX8550 System Chip

  • Authors:
  • Sandeep Kumar Goel;Kuoshu Chiu;Erik Jan Marinissen;Toan Nguyen;Steven Oostdijk

  • Affiliations:
  • -;-;-;-;-

  • Venue:
  • Proceedings of the conference on Design, automation and test in Europe - Volume 3
  • Year:
  • 2004

Quantified Score

Hi-index 0.00

Visualization

Abstract

Philips has adopted a modular manufacturing test strategy for its SOCs that are part of the Nexperia驴 Home Platform. The on-chip infrastructure that enables modular testing consists of wrappers and Test Access Mechanisms (TAMs). Optimizing that infrastructure minimizes the test application time and helps to fit the test data into the ATE vector memory. This paper presents the test architecture design for the chiplet-based PNX8550, the most complex Nexperia驴 SOC designed to date. Significant savings in test time and TAM wires could be obtained with the help of TR-ARCHITECT, an in-house tool for automated design of SOC test architectures.