MiniMIPS: a simulation project for the computer architecture laboratory
SIGCSE '03 Proceedings of the 34th SIGCSE technical symposium on Computer science education
New directions in traffic measurement and accounting
Proceedings of the 2002 conference on Applications, technologies, architectures, and protocols for computer communications
Modelling SAMIPS: A Synthesisable Asynchronous MIPS Processor
ANSS '04 Proceedings of the 37th annual symposium on Simulation
Encyclopedia of Computer Science
A Simple Project for Teaching Instruction Set Architecture
ICALT '05 Proceedings of the Fifth IEEE International Conference on Advanced Learning Technologies
A MIPS assembly language simulator designed for education
Journal of Computing Sciences in Colleges
MARS: an education-oriented MIPS assembly language simulator
Proceedings of the 37th SIGCSE technical symposium on Computer science education
Low power synthesizable register files for processor and IP cores
Integration, the VLSI Journal - Special issue: Low-power design techniques
Reducing control overhead in dataflow architectures
Proceedings of the 15th international conference on Parallel architectures and compilation techniques
A taxonomy of DFA-based string processors
SAICSIT '06 Proceedings of the 2006 annual research conference of the South African institute of computer scientists and information technologists on IT research in developing countries
Pep8CPU: a programmable simulator for a central processing unit
Proceedings of the 38th SIGCSE technical symposium on Computer science education
Automatic application specific floating-point unit generation
Proceedings of the conference on Design, automation and test in Europe
Computer engineering curriculum at Oakland University
WCAE-2 '96 Proceedings of the 1996 workshop on Computer architecture education
Learning the relationship between computer architecture and technology by reconfiguring
WCAE '98 Proceedings of the 1998 workshop on Computer architecture education
Experiences integrating research tools and projects into computer architecture courses
WCAE '00 Proceedings of the 2000 workshop on Computer architecture education
Experiences with the Blackfin architecture for embedded systems education
WCAE '05 Proceedings of the 2005 workshop on Computer architecture education: held in conjunction with the 32nd International Symposium on Computer Architecture
A Rewriting Semantics for ABEL with Applications to Hardware/Software Co-Design and Analysis
Electronic Notes in Theoretical Computer Science (ENTCS)
Software-based self-testing with multiple-level abstractions for soft processor cores
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Exploiting virtual registers to reduce pressure on real registers
ACM Transactions on Architecture and Code Optimization (TACO)
Rapid application specific floating-point unit generation with bit-alignment
Proceedings of the 45th annual Design Automation Conference
MIPSPILOT: a compiler-oriented MIPS simulator
Journal of Computing Sciences in Colleges
Characterizing application sensitivity to OS interference using kernel-level noise injection
Proceedings of the 2008 ACM/IEEE conference on Supercomputing
Contention-aware scheduler: unlocking execution parallelism in multithreaded java programs
Proceedings of the 23rd ACM SIGPLAN conference on Object-oriented programming systems languages and applications
Trend and Challenge on System-on-a-Chip Designs
Journal of Signal Processing Systems
Embedded DSP Processor Design: Application Specific Instruction Set Processors
Embedded DSP Processor Design: Application Specific Instruction Set Processors
Distributed simulation and profiling of multiprocessor systems on a chip
WSEAS Transactions on Circuits and Systems
Flexible multi-mode embedded floating-point unit for field programmable gate arrays
Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays
Abstraction and extensibility in digital logic simulation software
Proceedings of the 40th ACM technical symposium on Computer science education
Compiler-managed register file protection for energy-efficient soft error reduction
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
Decomposition of Task-Level Concurrency on C Programs Applied to the Design of Multiprocessor SoC
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Contradictory antecedent debugging in bounded model checking
Proceedings of the 19th ACM Great Lakes symposium on VLSI
A decentralised task mapping approach for homogeneous multiprocessor network-on-chips
International Journal of Reconfigurable Computing - Selected papers from ReCoSoc08
Recovery strategies for streaming media service in a cluster-based VOD server with a fault node
International Journal of Parallel Programming
Custom floating-point unit generation for embedded systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Configuring a real time radio signal processor on an embedded system using compiled XML
SIP '07 Proceedings of the Ninth IASTED International Conference on Signal and Image Processing
Opportunities and Challenges in Process-algebraic Verification of Asynchronous Circuit Designs
Electronic Notes in Theoretical Computer Science (ENTCS)
A memory-efficient scheme for fast spectral photon mapping
CGIM '07 Proceedings of the Ninth IASTED International Conference on Computer Graphics and Imaging
Low power synthesizable register files for processor and IP cores
Integration, the VLSI Journal - Special issue: Low-power design techniques
Micro-pipeline section for condition-controlled loop
CompSysTech '09 Proceedings of the International Conference on Computer Systems and Technologies and Workshop for PhD Students in Computing
The pep/8 memory tracer: visualizing activation records on the run-time stack
Proceedings of the 41st ACM technical symposium on Computer science education
Virtual registers: reducing register pressure without enlarging the register file
HiPEAC'07 Proceedings of the 2nd international conference on High performance embedded architectures and compilers
Customizing the datapath and ISA of soft VLIW processors
HiPEAC'07 Proceedings of the 2nd international conference on High performance embedded architectures and compilers
Two versions of architectures for dynamic implied addressing mode
Journal of Systems Architecture: the EUROMICRO Journal
Engineering scalable, cache and space efficient tries for strings
The VLDB Journal — The International Journal on Very Large Data Bases
Static analysis to mitigate soft errors in register files
Proceedings of the Conference on Design, Automation and Test in Europe
Implementing dynamic implied addressing mode for multi-output instructions
CASES '10 Proceedings of the 2010 international conference on Compilers, architectures and synthesis for embedded systems
Algorithm engineering: bridging the gap between algorithm theory and practice
Algorithm engineering: bridging the gap between algorithm theory and practice
A framework for benchmarking FA-based string recognizers
SAICSIT '10 Proceedings of the 2010 Annual Research Conference of the South African Institute of Computer Scientists and Information Technologists
Computer Networks: The International Journal of Computer and Telecommunications Networking
The impact of applications' I/O strategies on the performance of the Lustre parallel file system
International Journal of High Performance Systems Architecture
A case for dual-mapping one-way caches
ARCS'06 Proceedings of the 19th international conference on Architecture of Computing Systems
On chip cache quantitative optimization approach: study in chip multi-processor design
HPCA'09 Proceedings of the Second international conference on High Performance Computing and Applications
Hexagon-Based q-learning for object search with multiple robots
ICNC'05 Proceedings of the First international conference on Advances in Natural Computation - Volume Part III
Using boolean differences for discovering ill-defined attributes in propositional machine learning
MICAI'05 Proceedings of the 4th Mexican international conference on Advances in Artificial Intelligence
A refactoring method for cache-efficient swarm intelligence algorithms
Information Sciences: an International Journal
Advanced static analysis for decompilation using scattered context grammars
ACC'11/MMACTEE'11 Proceedings of the 13th IASME/WSEAS international conference on Mathematical Methods and Computational Techniques in Electrical Engineering conference on Applied Computing
An information-theoretic approach to estimate the capacity of processing units
Performance Evaluation
Journal of Computational Physics
Reducing memory reference energy with opportunistic virtual caching
Proceedings of the 39th Annual International Symposium on Computer Architecture
The Journal of Supercomputing
Emumaker86: a hardware simulator for teaching CPU design
Proceeding of the 44th ACM technical symposium on Computer science education
Journal of Computing Sciences in Colleges
Hi-index | 0.00 |