Statistical gate sizing for timing yield optimization

  • Authors:
  • D. Sinha;N. V. Shenoy;Hai Zhou

  • Affiliations:
  • Dept. of Electr. & Comput. Eng., Northwestern Univ., Evanston, IL, USA;Dept. of EECS, Michigan Univ., Ann Arbor, MI, USA;Dept. of EECS, Michigan Univ., Ann Arbor, MI, USA

  • Venue:
  • ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
  • Year:
  • 2005

Quantified Score

Hi-index 0.00

Visualization

Abstract

Variability in the chip design process has been relatively increasing with technology scaling to smaller dimensions. Using worst case analysis for circuit optimization severely over-constrains the system and results in solutions with excessive penalties. Statistical timing analysis and optimization have consequently emerged as a refinement of the traditional static timing approach for circuit design optimization. In this paper, we propose a statistical gate sizing methodology for timing yield improvement. We build statistical models for gate delays from library characterizations at multiple process corners and operating conditions. Statistical timing analysis is performed, which drives gate sizing for timing yield optimization. Experimental results are reported for the ISCAS and MCNC benchmarks. In addition, we provide insight into statistical properties of gate delays for a given technology library which intuitively explains when and why statistical optimization improves over static timing optimization.