Deviation-based LFSR reseeding for test-data compression

  • Authors:
  • Zhanglei Wang;Hongxia Fang;Krishnendu Chakrabarty;Michael Bienek

  • Affiliations:
  • Cisco Systems, Inc., San Jose, CA;Department Electrical and Computer Engineering, Duke University, Durham, NC;Department Electrical and Computer Engineering, Duke University, Durham, NC;Advanced Micro Devices, Austin, TX

  • Venue:
  • IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
  • Year:
  • 2009

Quantified Score

Hi-index 0.04

Visualization

Abstract

Linear feedback shift register (LFSR) reseeding forms the basis for many test-compression solutions. A seed can be computed for each test cube by solving a system of linear equations based on the feedback polynomial of the LFSR. Despite the availability of numerous LFSR-reseeding-based compression methods in the literature, relatively little is known about the effectiveness of these seeds for unmodeled defects, particularly since there are often several candidate seeds for a test cube. We use the recently proposed output deviation measure of the resulting patterns as a metric to select appropriate LFSR seeds. Experimental results are reported using test patterns for stuck-at and transition faults derived from selected seeds for the ISCAS-89 and the IWLS-05 benchmark circuits. These patterns achieve higher coverage for transition and stuck-open faults than patterns obtained using other seed-generation methods for LFSR reseeding. Given a pattern pair (p1, p2) for transition faults, we also examine the transition-fault coverage for launch on capture by using p1 and p2 to separately compute output deviations. Results show that p1 tends to be better when there is a high proportion of do-not-care bits in the test cubes, while p2 is a more appropriate choice when the transition-fault coverage is high.