Clock controller design in SuperSPARC II microprocessor
ICCD '95 Proceedings of the 1995 International Conference on Computer Design: VLSI in Computers and Processors
Testability, Debuggability, and Manufacturability Features of the UltraSPARCTM-I Microprocessor
Proceedings of the IEEE International Test Conference on Driving Down the Cost of Test
Structured Design-for-Debug - The SuperSPARCTM II Methodology and Implementation
Proceedings of the IEEE International Test Conference on Driving Down the Cost of Test
DFT Strategy for Intel Microprocessors
Proceedings of the IEEE International Test Conference on Test and Design Validity
Pentium® Pro Processor Design for Test and Debug
Proceedings of the IEEE International Test Conference
FakeFault: a silicon debug software tool for microprocessor embedded memory arrays
ITC '98 Proceedings of the 1998 IEEE International Test Conference
Test Features of a Core-Based Co-Processor Array for Video Applications
ITC '99 Proceedings of the 1999 IEEE International Test Conference
microSPARCTM: a case-study of scan based debug
ITC'94 Proceedings of the 1994 international conference on Test
Debug Facilities in the TriMedia CPU64 Architecture
Journal of Electronic Testing: Theory and Applications - special issue on the European test workshop 1999
Design for Debug: Catching Design Errors in Digital Chips
IEEE Design & Test
Wrapper Design for Embedded Core Test
ITC '00 Proceedings of the 2000 IEEE International Test Conference
Test and Debug Strategy of the PNX8525 Nexperia" Digital Video Platform System Chip
ITC '01 Proceedings of the 2001 IEEE International Test Conference
Data Invalidation Analysis for Scan-Based Debug on Multiple-Clock System Chips
Journal of Electronic Testing: Theory and Applications
Test Features of a Core-Based Co-Processor Array for Video Applications
ITC '99 Proceedings of the 1999 IEEE International Test Conference
Automatic generation of breakpoint hardware for silicon debug
Proceedings of the 41st annual Design Automation Conference
Transaction-Based Communication-Centric Debug
NOCS '07 Proceedings of the First International Symposium on Networks-on-Chip
Debugging Distributed-Shared-Memory Communication at Multiple Granularities in Networks on Chip
NOCS '08 Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip
On automated trigger event generation in post-silicon validation
Proceedings of the conference on Design, automation and test in Europe
System-on-Chip Test Architectures: Nanometer Design for Testability
System-on-Chip Test Architectures: Nanometer Design for Testability
Algorithms for state restoration and trace-signal selection for data acquisition in silicon debug
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Enabling efficient post-silicon debug by clustering of hardware-assertions
Proceedings of the Conference on Design, Automation and Test in Europe
A high-level debug environment for communication-centric debug
Proceedings of the Conference on Design, Automation and Test in Europe
Trace signal selection for visibility enhancement in post-silicon validation
Proceedings of the Conference on Design, Automation and Test in Europe
Formal-analysis-based trace computation for post-silicon debug
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
RATS: restoration-aware trace signal selection for post-silicon validation
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Post-silicon debugging of PMU integration errors using behavioral models
Integration, the VLSI Journal
Hi-index | 0.00 |
For today's multi-million transistor designs, existingdesign verification techniques cannot guarantee thatfirst silicon is designed error free. Therefore,techniques are necessary to efficiently debug first-silicon.In this article, we present a methodology fordebugging multiple clock domain systems-on-a-chip.In addition to scan chains, a set of Design-for-Debugmodules is designed into an IC to make itdebuggable. Debugger tool software interacts withthe on-chip DfD to make the debug features availablefrom a workstation.