A Generic Model for Formally Verifying NoC Communication Architectures: A Case Study

  • Authors:
  • Dominique Borrione;Amr Helmy;Laurence Pierre;Julien Schmaltz

  • Affiliations:
  • TIMA Laboratory-INPG, France;TIMA Laboratory-INPG, France;TIMA Laboratory-INPG, France;Saarland University, Germany

  • Venue:
  • NOCS '07 Proceedings of the First International Symposium on Networks-on-Chip
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

Networks on Chip are emerging as a promising solution for the design of complex Systems on a Chip, to interconnect manufactured IP cores, and the need to formally guarantee their correctness is crucial. In a NoC centered design, the individual IP's are considered already validated. This paper addresses the validation of the communication infrastructure. A generic formal model for NoC's has been developed and implemented in the ACL2 theorem prover. As an application, the HERMES network has been formalized in this model, and we show that both formal proofs and simulation experiments can be performed in ACL2.