Path selection and pattern generation for dynamic timing analysis considering power supply noise effects

  • Authors:
  • Jing Jia Liou;Angela Krstić;Yi Min Jiang;Kwang Ting Cheng

  • Affiliations:
  • University of California, Santa Barbara;University of California, Santa Barbara;Synopsys Inc., Mountain View, CA;University of California, Santa Barbara

  • Venue:
  • Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
  • Year:
  • 2000

Quantified Score

Hi-index 0.00

Visualization

Abstract

Noise effects such as power supply and crosstalk can significantly affect the performance of deep submicron designs. These delay effects are highly input pattern dependent. Existing path selection and timing analysis techniques cannot capture the effects of noise on cell/interconnect delays. Therefore, the selected critical paths may not be the longest paths and predicted circuit performance might not reflect the worst-case circuit delay. In this paper, we propose a path selection technique that can consider power supply noise effects on the propagation delays. Next, for the selected critical paths, we propose a pattern generation technique for dynamic timing analysis such that the patterns produce the worst-case power supply noise effects on the delays of these paths. Our experimental results demonstrate the difference in estimated circuit performance for the case when power supply noise effects are considered vs. when these effects are ignored. Thus, they validate the need for considering power supply noise effects on delays during path selection and dynamic timing analysis.