A multilayer data copy test data compression scheme for reducing shifting-in power for multiple scan design

  • Authors:
  • Shih-Ping Lin;Chung-Len Lee;Jwu-E. Chen;Ji-Jan Chen;Kun-Lun Luo;Wen-Ching Wu

  • Affiliations:
  • Department of Electronics Engineering, National Chiao Tung University, Hsinchu, Taiwan, R.O.C.;Department of Electrical Engineering, National Central University, Chung-li, Taiwan, R.O.C.;Department of Electrical Engineering, National Central University, Chung-li, Taiwan, R.O.C.;SoC Technology Center, Industrial Technology Research Institute, Hsinchu, Taiwan, R.O.C.;SoC Technology Center, Industrial Technology Research Institute, Hsinchu, Taiwan, R.O.C.;SoC Technology Center, Industrial Technology Research Institute, Hsinchu, Taiwan, R.O.C.

  • Venue:
  • IEEE Transactions on Very Large Scale Integration (VLSI) Systems
  • Year:
  • 2007

Quantified Score

Hi-index 0.00

Visualization

Abstract

The random-like filling strategy pursuing high compression for today's popular test compression schemes introduces large test power. To achieve high compression in conjunction with reducing test power for multiple-scan-chain designs is even harder and very few works were dedicated to solve this problem. This paper proposes and demonstrates a multilayer data copy (MDC) scheme for test compression as well as test power reduction for multiple-scan-chain designs. The scheme utilizes a decoding buffer, which supports fast loading using previous loaded data, to achieve test data compression and test power reduction at the same time. The scheme can be applied automatic test pattern generation (ATPG)-independently or to be incorporated in an ATPG to generate highly compressible and power efficient test sets. Experiment results on benchmarks show that test sets generated by the scheme had large compression and power saving with only a small area design overhead.