The coming of age of (academic) global routing

  • Authors:
  • Michael D. Moffitt;Jarrod A. Roy;Igor L. Markov

  • Affiliations:
  • IBM Austin Research Lab, Austin, TX, USA;University of Michigan, Ann Arbor, MI, USA;University of Michigan, Ann Arbor, MI, USA and Synplicity Inc., Sunnyvale, CA

  • Venue:
  • Proceedings of the 2008 international symposium on Physical design
  • Year:
  • 2008

Quantified Score

Hi-index 0.00

Visualization

Abstract

Wire routing, an important step in modern VLSI design, is increasingly responsible for timing closure and manufacturability. The CAD community has witnessed remarkable improvements in speed and quality of global routing algorithms in response to the inaugural ISPD 2007 Global Routing Contest, where prizes were awarded for best results on a new set of large industry benchmarks. In this paper, we review the state of the art in global routing and identify several critical techniques that distinguish top routing algorithms. We also discuss open challenges and offer predictions regarding the future of routing research.