Federation: repurposing scalar cores for out-of-order instruction issue
Proceedings of the 45th annual Design Automation Conference
A Bridging Model for Multi-core Computing
ESA '08 Proceedings of the 16th annual European symposium on Algorithms
Roofline: an insightful visual performance model for multicore architectures
Communications of the ACM - A Direct Path to Dependable Software
Communications of the ACM - Security in the Browser
An evaluation of the TRIPS computer system
Proceedings of the 14th international conference on Architectural support for programming languages and operating systems
Accelerating critical section execution with asymmetric multi-core architectures
Proceedings of the 14th international conference on Architectural support for programming languages and operating systems
Strategies for mapping dataflow blocks to distributed hardware
Proceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture
Fast switching of threads between cores
ACM SIGOPS Operating Systems Review
HASS: a scheduler for heterogeneous multicore systems
ACM SIGOPS Operating Systems Review
Scaling the bandwidth wall: challenges in and avenues for CMP scaling
Proceedings of the 36th annual international symposium on Computer architecture
A view of the parallel computing landscape
Communications of the ACM - A View of Parallel Computing
Multi-Tiered On-Demand Resource Scheduling for VM-Based Data Center
CCGRID '09 Proceedings of the 2009 9th IEEE/ACM International Symposium on Cluster Computing and the Grid
Carbon nanotube coated high-throughput neurointerfaces in assistive environments
Proceedings of the 2nd International Conference on PErvasive Technologies Related to Assistive Environments
Design and optimization of the store vectors memory dependence predictor
ACM Transactions on Architecture and Code Optimization (TACO)
Maximizing power efficiency with asymmetric multicore systems
Communications of the ACM - Finding the Fun in Computer Science Education
The multikernel: a new OS architecture for scalable multicore systems
Proceedings of the ACM SIGOPS 22nd symposium on Operating systems principles
Proceedings of the 46th Annual Design Automation Conference
Extending Amdahl's law in the multicore era
ACM SIGMETRICS Performance Evaluation Review
Some resources for teaching concurrency
Proceedings of the 7th Workshop on Parallel and Distributed Systems: Testing, Analysis, and Debugging
Qilin: exploiting parallelism on heterogeneous multiprocessors with adaptive mapping
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Multiple clock and voltage domains for chip multi processors
Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture
Reevaluating Amdahl's law in the multicore era
Journal of Parallel and Distributed Computing
AASH: an asymmetry-aware scheduler for hypervisors
Proceedings of the 6th ACM SIGPLAN/SIGOPS international conference on Virtual execution environments
Flexible architectural support for fine-grain scheduling
Proceedings of the fifteenth edition of ASPLOS on Architectural support for programming languages and operating systems
ACM Transactions on Architecture and Code Optimization (TACO)
A comprehensive scheduler for asymmetric multicore systems
Proceedings of the 5th European conference on Computer systems
Proceedings of the 7th ACM international conference on Computing frontiers
A hyperscalar multi-core architecture
Proceedings of the 7th ACM international conference on Computing frontiers
EXACT: explicit dynamic-branch prediction with active updates
Proceedings of the 7th ACM international conference on Computing frontiers
State-of-the-art in heterogeneous computing
Scientific Programming
WiDGET: Wisconsin decoupled grid execution tiles
Proceedings of the 37th annual international symposium on Computer architecture
Forwardflow: a scalable core for power-constrained CMPs
Proceedings of the 37th annual international symposium on Computer architecture
Energy-performance tradeoffs in processor architecture and circuit design: a marginal cost analysis
Proceedings of the 37th annual international symposium on Computer architecture
Modeling critical sections in Amdahl's law and its implications for multicore design
Proceedings of the 37th annual international symposium on Computer architecture
Data marshaling for multi-core architectures
Proceedings of the 37th annual international symposium on Computer architecture
On the costs and benefits of stochasticity in stream processing
Proceedings of the 47th Design Automation Conference
A GPU accelerated storage system
Proceedings of the 19th ACM International Symposium on High Performance Distributed Computing
CoreGenesis: erasing core boundaries for robust and configurable performance
Proceedings of the 19th international conference on Parallel architectures and compilation techniques
Hera-JVM: abstracting processor heterogeneity behind a virtual machine
HotOS'09 Proceedings of the 12th conference on Hot topics in operating systems
Design principles for end-to-end multicore schedulers
HotPar'10 Proceedings of the 2nd USENIX conference on Hot topics in parallelism
Estimating parallel performance, a skeleton-based approach
Proceedings of the fourth international workshop on High-level parallel programming and applications
Hera-JVM: a runtime system for heterogeneous multi-core architectures
Proceedings of the ACM international conference on Object oriented programming systems languages and applications
Proceedings of the Conference on Design, Automation and Test in Europe
Size Matters: Space/Time Tradeoffs to Improve GPGPU Applications Performance
Proceedings of the 2010 ACM/IEEE International Conference for High Performance Computing, Networking, Storage and Analysis
A bridging model for multi-core computing
Journal of Computer and System Sciences
Journal of Parallel and Distributed Computing
Proceedings of the 2010 Asia and South Pacific Design Automation Conference
Analyzing performance asymmetric multicore processors for latency sensitive datacenter applications
HotPower'10 Proceedings of the 2010 international conference on Power aware computing and systems
Dynamic vectorization in the E2 dynamic multicore architecture
ACM SIGARCH Computer Architecture News
On the energy-performance tradeoff for parallel applications
EPEW'10 Proceedings of the 7th European performance engineering conference on Computer performance engineering
Particle filtering: the need for speed
EURASIP Journal on Advances in Signal Processing
Context oriented programming in highly concurrent systems
Proceedings of the 2nd International Workshop on Context-Oriented Programming
Erasing Core Boundaries for Robust and Configurable Performance
MICRO '43 Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
Single-Chip Heterogeneous Computing: Does the Future Include Custom Logic, FPGAs, and GPGPUs?
MICRO '43 Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
MICRO '43 Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
A taxonomy of accelerator architectures and their programming models
IBM Journal of Research and Development
Bridging functional heterogeneity in multicore architectures
ACM SIGOPS Operating Systems Review
Queue waiting time aware dynamic workflow scheduling in multicluster environments
Journal of Computer Science and Technology
Towards scalable service composition on multicores
OTM'10 Proceedings of the 2010 international conference on On the move to meaningful internet systems
L1 data cache power reduction using a forwarding predictor
PATMOS'10 Proceedings of the 20th international conference on Integrated circuit and system design: power and timing modeling, optimization and simulation
Scalability and parallelization of Monte-Carlo tree search
CG'10 Proceedings of the 7th international conference on Computers and games
Exploring circuit timing-aware language and compilation
Proceedings of the sixteenth international conference on Architectural support for programming languages and operating systems
Mathematical limits of parallel computation for embedded systems
Proceedings of the 16th Asia and South Pacific Design Automation Conference
ARCS'11 Proceedings of the 24th international conference on Architecture of computing systems
Memory-, bandwidth-, and power-aware multi-core for a graph database workload
ARCS'11 Proceedings of the 24th international conference on Architecture of computing systems
Database engines on multicores, why parallelize when you can distribute?
Proceedings of the sixth conference on Computer systems
Journal of Signal Processing Systems
Parallel direct Poisson solver for discretisations with one Fourier diagonalisable direction
Journal of Computational Physics
Analyzing throughput of power and thermal-constraint multicore processor under NBTI effect
Proceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI
MDR: performance model driven runtime for heterogeneous parallel platforms
Proceedings of the international conference on Supercomputing
Poster: implications of merging phases on scalability of multi-core architectures
Proceedings of the international conference on Supercomputing
Proceedings of the 38th annual international symposium on Computer architecture
Dark silicon and the end of multicore scaling
Proceedings of the 38th annual international symposium on Computer architecture
A case for heterogeneous on-chip interconnects for CMPs
Proceedings of the 38th annual international symposium on Computer architecture
Balance principles for algorithm-architecture co-design
HotPar'11 Proceedings of the 3rd USENIX conference on Hot topic in parallelism
PLP: page latch-free shared-everything OLTP
Proceedings of the VLDB Endowment
Capacity metric for chip heterogeneous multiprocessors
CODES+ISSS '11 Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
What Hill-Marty model learn from and break through Amdahl's law?
Information Processing Letters
Kismet: parallel speedup estimates for serial programs
Proceedings of the 2011 ACM international conference on Object oriented programming systems languages and applications
Efficiently speeding up sequential computation through the n-way programming model
Proceedings of the 2011 ACM international conference on Object oriented programming systems languages and applications
CoreSymphony: an efficient reconfigurable multi-core architecture
ACM SIGARCH Computer Architecture News
Bahurupi: A polymorphic heterogeneous multi-core architecture
ACM Transactions on Architecture and Code Optimization (TACO) - HIPEAC Papers
SYRANT: SYmmetric resource allocation on not-taken and taken paths
ACM Transactions on Architecture and Code Optimization (TACO) - HIPEAC Papers
Which problems does a multi-language virtual machine need to solve in the multicore/manycore era?
Proceedings of the compilation of the co-located workshops on DSM'11, TMC'11, AGERE!'11, AOOPES'11, NEAT'11, & VMIL'11
Characterizing the lifetime reliability of manycore processors with core-level redundancy
Proceedings of the International Conference on Computer-Aided Design
CPU DB: recording microprocessor history
Communications of the ACM
PMA: Pixel-based multi-anchor algorithm for image recognition on multi-core systems
Proceedings of the 2012 International Workshop on Programming Models and Applications for Multicores and Manycores
Chameleon: operating system support for dynamic processors
ASPLOS XVII Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems
Execution migration in a heterogeneous-ISA chip multiprocessor
ASPLOS XVII Proceedings of the seventeenth international conference on Architectural Support for Programming Languages and Operating Systems
Socially optimal pricing of cloud computing resources
Proceedings of the 5th International ICST Conference on Performance Evaluation Methodologies and Tools
Complementing user-level coarse-grain parallelism with implicit speculative parallelism
Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture
An analysis of power reduction in datacenters using heterogeneous chip multiprocessors
ACM SIGMETRICS Performance Evaluation Review
ACM Transactions on Computer Systems (TOCS)
Balancing Programmability and Silicon Efficiency of Heterogeneous Multicore Architectures
ACM Transactions on Embedded Computing Systems (TECS)
CPU DB: Recording Microprocessor History
Queue - Processors
SnCTM: reducing false transaction aborts by adaptively changing the source of conflict detection
Proceedings of the 9th conference on Computing Frontiers
Improving coherence protocol reactiveness by trading bandwidth for latency
Proceedings of the 9th conference on Computing Frontiers
Proceedings of the 9th conference on Computing Frontiers
Amdahl's law for predicting the future of multicores considered harmful
ACM SIGARCH Computer Architecture News
Trends and challenges in operating systems---from parallel computing to cloud computing
Concurrency and Computation: Practice & Experience
A survey on hardware-aware and heterogeneous computing on multicore processors and accelerators
Concurrency and Computation: Practice & Experience
Power Limitations and Dark Silicon Challenge the Future of Multicore
ACM Transactions on Computer Systems (TOCS)
Energy-efficient scheduling on heterogeneous multi-core architectures
Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
Harmony: collection and analysis of parallel block vectors
Proceedings of the 39th Annual International Symposium on Computer Architecture
HARPPIE: hyper algorithmic recipe for productive parallelism intensive endeavors
Proceedings of the 34th International Conference on Software Engineering
Parallel discrete event simulation for DEVS cellular models using a GPU
Proceedings of the 2012 Symposium on High Performance Computing
The forgotten 'uncore': on the energy-efficiency of heterogeneous cores
USENIX ATC'12 Proceedings of the 2012 USENIX conference on Annual Technical Conference
Advances in Software Engineering
GPGPU implementation of growing neural gas: Application to 3D scene reconstruction
Journal of Parallel and Distributed Computing
Performance enhancement under power constraints using heterogeneous CMOS-TFET multicores
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Automatic generation of software pipelines for heterogeneous parallel systems
SC '12 Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis
ACM Transactions on Design Automation of Electronic Systems (TODAES) - Special section on adaptive power management for energy and temperature-aware computing systems
NetSlices: scalable multi-core packet processing in user-space
Proceedings of the eighth ACM/IEEE symposium on Architectures for networking and communications systems
Parallel algorithms for Sn transport sweeps on unstructured meshes
Journal of Computational Physics
Understanding fundamental design choices in single-ISA heterogeneous multicore architectures
ACM Transactions on Architecture and Code Optimization (TACO) - Special Issue on High-Performance Embedded Architectures and Compilers
Energy consumption modeling for hybrid computing
Euro-Par'12 Proceedings of the 18th international conference on Parallel Processing
Power challenges may end the multicore era
Communications of the ACM
Efficient task scheduling for hard real-time tasks in asymmetric multicore processors
ICA3PP'12 Proceedings of the 12th international conference on Algorithms and Architectures for Parallel Processing - Volume Part II
Limits of region-based dynamic binary parallelization
Proceedings of the 9th ACM SIGPLAN/SIGOPS international conference on Virtual execution environments
Composite Cores: Pushing Heterogeneity Into a Core
MICRO-45 Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture
Scalable and dynamically balanced shared-everything OLTP with physiological partitioning
The VLDB Journal — The International Journal on Very Large Data Bases
Pipelining for cyclic control systems
Proceedings of the 16th international conference on Hybrid systems: computation and control
Proceedings of the 15th annual conference on Genetic and evolutionary computation
On understanding the energy consumption of ARM-based multicore servers
Proceedings of the ACM SIGMETRICS/international conference on Measurement and modeling of computer systems
Performance analysis and prediction for distributed homogeneous clusters
Computer Science - Research and Development
Parallelism profiling and wall-time prediction for multi-threaded applications
Proceedings of the 4th ACM/SPEC International Conference on Performance Engineering
Importance of single-core performance in the multicore era
ACSC '12 Proceedings of the Thirty-fifth Australasian Computer Science Conference - Volume 122
A new paradigm for trading off yield, area and performance to enhance performance per wafer
Proceedings of the Conference on Design, Automation and Test in Europe
Proceedings of the 2013 ACM SIGSIM conference on Principles of advanced discrete simulation
Estimating parallel performance
Journal of Parallel and Distributed Computing
Systematic evaluation of workload clustering for extremely energy-efficient architectures
ACM SIGARCH Computer Architecture News
On bottleneck analysis in stochastic stream processing
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Proceedings of the Twenty-Fourth ACM Symposium on Operating Systems Principles
ACM SIGOPS 24th Symposium on Operating Systems Principles
Everything you always wanted to know about synchronization but were afraid to ask
Proceedings of the Twenty-Fourth ACM Symposium on Operating Systems Principles
Fine grain thread scheduling on multicore processors: cores with multiple functional units
Proceedings of the 6th ACM India Computing Convention
PACT '13 Proceedings of the 22nd international conference on Parallel architectures and compilation techniques
Wimpy or brawny cores: A throughput perspective
Journal of Parallel and Distributed Computing
Performance evaluation and prediction of open source speech engine on multicore processors
Proceedings of the Fifth International Conference on Management of Emergent Digital EcoSystems
Modeling the effects of DFS on power consumption in hybrid chip multiprocessors
E2SC '13 Proceedings of the 1st International Workshop on Energy Efficient Supercomputing
Journal of Parallel and Distributed Computing
Market mechanisms for managing datacenters with heterogeneous microarchitectures
ACM Transactions on Computer Systems (TOCS)
The benefit of SMT in the multi-core era: flexibility towards degrees of thread-level parallelism
Proceedings of the 19th international conference on Architectural support for programming languages and operating systems
REF: resource elasticity fairness with sharing incentives for multiprocessors
Proceedings of the 19th international conference on Architectural support for programming languages and operating systems
Fast and accurate power estimation method based on a PMU counter
Proceedings of the 8th International Conference on Ubiquitous Information Management and Communication
A hierarchical vision processing architecture oriented to 3D integration of smart camera chips
Journal of Systems Architecture: the EUROMICRO Journal
A hyperscalar dual-core architecture for embedded systems
Microprocessors & Microsystems
Analytical modeling of energy efficiency in heterogeneous processors
Computers and Electrical Engineering
A comparative evaluation of multi-objective exploration algorithms for high-level design
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Parallel flow routing in SWMM 5
Environmental Modelling & Software
ad-heap: an Efficient Heap Data Structure for Asymmetric Multicore Processors
Proceedings of Workshop on General Purpose Processing Using GPUs
Amdahl's law in the era of process variation
International Journal of High Performance Systems Architecture
Adaptive workload-aware task scheduling for single-ISA asymmetric multicore architectures
ACM Transactions on Architecture and Code Optimization (TACO)
Extending Amdahl's law and Gustafson's law by evaluating interconnections on multi-core processors
The Journal of Supercomputing
Eliminating unscalable communication in transaction processing
The VLDB Journal — The International Journal on Very Large Data Bases
Exploiting multi-core nodes in peer-to-peer grids
Journal of Parallel and Distributed Computing
Hi-index | 4.14 |
Augmenting Amdahl's law with a corollary for multicore hardware makes it relevant to future generations of chips with multiple processor cores. Obtaining optimal multicore performance will require further research in both extracting more parallelism and making sequential cores faster.