Rectangle-packing-based module placement
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
A new algorithm for floorplan design
DAC '86 Proceedings of the 23rd ACM/IEEE Design Automation Conference
Unifying behavioral synthesis and physical design
Proceedings of the 37th Annual Design Automation Conference
Optimal FPGA module placement with temporal precedence constraints
Proceedings of the conference on Design, automation and test in Europe
TCG: a transitive closure graph-based representation for non-slicing floorplans
Proceedings of the 38th annual Design Automation Conference
Fast Template Placement for Reconfigurable Computing Systems
IEEE Design & Test
Gravity: Fast placement for 3-D VLSI
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Efficient Thermal Placement of Standard Cells in 3D ICs using a Force Directed Approach
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Temporal floorplanning using 3D-subTCG
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
Design of Fault-Tolerant and Dynamically-Reconfigurable Microfluidic Biochips
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Incremental exploration of the combined physical and behavioral design space
Proceedings of the 42nd annual Design Automation Conference
Unified high-level synthesis and module placement for defect-tolerant microfluidic biochips
Proceedings of the 42nd annual Design Automation Conference
Architectural-level synthesis of digital microfluidics-based biochips
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Temporal floorplanning using the T-tree formulation
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Floorplanning for 3-D VLSI design
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
SoC test scheduling using the B-tree based floorplanning technique
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Priority scheduling in digital microfluidics-based biochips
Proceedings of the conference on Design, automation and test in Europe: Proceedings
Scheduling of microfluidic operations for reconfigurable two-dimensional electrowetting arrays
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Design and optimization of a digital microfluidic biochip for protein crystallization
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
Tabu search-based synthesis of dynamically reconfigurable digital microfluidic biochips
CASES '09 Proceedings of the 2009 international conference on Compilers, architecture, and synthesis for embedded systems
ILP-based pin-count aware design methodology for microfluidic biochips
Proceedings of the 46th Annual Design Automation Conference
On-line testing of lab-on-chip using reconfigurable digital-microfluidic compactors
International Journal of Parallel Programming
Droplet-routing-aware module placement for cross-referencing biochips
Proceedings of the 19th international symposium on Physical design
ACM Journal on Emerging Technologies in Computing Systems (JETC)
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Design automation and test solutions for digital microfluidic biochips
IEEE Transactions on Circuits and Systems Part I: Regular Papers
Cross-contamination aware design methodology for pin-constrained digital microfluidic biochips
Proceedings of the 47th Design Automation Conference
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
ILP-based pin-count aware design methodology for microfluidic biochips
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
A contamination aware droplet routing algorithm for the synthesis of digital microfluidic biochips
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Optimization of dilution and mixing of biochemical samples using digital microfluidic biochips
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Co-optimization of droplet routing and pin assignment in disposable digital microfluidic biochips
Proceedings of the 2011 international symposium on Physical design
Fault Diagnosis in Lab-on-Chip Using Digital Microfluidic Logic Gates
Journal of Electronic Testing: Theory and Applications
Digital microfluidic biochips: recent research and emerging challenges
CODES+ISSS '11 Proceedings of the seventh IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Digital microfluidic biochips: a vision for functional diversity and more than Moore
Proceedings of the International Conference on Computer-Aided Design
Integrated fluidic-chip co-design methodology for digital microfluidic biochips
Proceedings of the 2012 ACM international symposium on International Symposium on Physical Design
Fast online synthesis of generally programmable digital microfluidic biochips
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Module-Based Synthesis of Digital Microfluidic Biochips with Droplet-Aware Operation Execution
ACM Journal on Emerging Technologies in Computing Systems (JETC)
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Hi-index | 0.00 |
Droplet-based microfluidic biochips have recently gained much attention and are expected to revolutionize the biological laboratory procedures. As biochips are adopted for the complex procedures in molecular biology, its complexity is expected to increase due to the need of multiple and concurrent assays on a chip. In this article, we formulate the placement problem of digital microfluidic biochips with a tree-based topological representation, called T-tree. To the best knowledge of the authors, this is the first work that adopts a topological representation to solve the placement problem of digital microfluidic biochips. We also consider the defect tolerant issue to avoid to use defective cells due to fabrication. Experimental results demonstrate that our approach is more efficient and effective than the previous unified synthesis and placement framework.