Deadlock-Free Message Routing in Multiprocessor Interconnection Networks
IEEE Transactions on Computers
Deadlock avoidance for systolic communication
ISCA '88 Proceedings of the 15th Annual International Symposium on Computer architecture
Warp: an integrated solution of high-speed parallel computing
Proceedings of the 1988 ACM/IEEE conference on Supercomputing
High performance communications in processor networks
ISCA '89 Proceedings of the 16th annual international symposium on Computer architecture
On the design of deadlock-free adaptive routing algorithms for multicomputers: design methodologies
PARLE '91 Proceedings on Parallel architectures and languages Europe : volume I: parallel architectures and algorithms: volume I: parallel architectures and algorithms
An Adaptive and Fault Tolerant Wormhole Routing Strategy for k-ary n-cubes
IEEE Transactions on Computers
Deadlock-free multicast wormhole routing in multicomputer networks
ISCA '91 Proceedings of the 18th annual international symposium on Computer architecture
Requirements for deadlock-free, adaptive packet routing
PODC '92 Proceedings of the eleventh annual ACM symposium on Principles of distributed computing
Planar-adaptive routing: low-cost adaptive networks for multiprocessors
ISCA '92 Proceedings of the 19th annual international symposium on Computer architecture
The turn model for adaptive routing
ISCA '92 Proceedings of the 19th annual international symposium on Computer architecture
Adaptive deadlock- and livelock-free routing with all minimal paths in Torus networks
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels
IEEE Transactions on Parallel and Distributed Systems
Deadlock-Free Adaptive Routing Algorithms for the 3D-Torus: Limitations and Solutions
PARLE '93 Proceedings of the 5th International PARLE Conference on Parallel Architectures and Languages Europe
Grouping Virtual Channels for Deadlock-Free Adaptive Wormhole Routing
PARLE '93 Proceedings of the 5th International PARLE Conference on Parallel Architectures and Languages Europe
Performance Evaluation of Adaptive Routing Algorithms for k-ary-n-cubes
PCRCW '94 Proceedings of the First International Workshop on Parallel Computer Routing and Communication
IEEE Transactions on Parallel and Distributed Systems
A Traffic-Balanced Adaptive Wormhole Routing Scheme for Two-Dimensional Meshes
IEEE Transactions on Computers
Triplex: a multi-class routing algorithm
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
A Theory of Fault-Tolerant Routing in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
On deadlocks in interconnection networks
Proceedings of the 24th annual international symposium on Computer architecture
A Fully Adaptive Routing Algorithm for Dynamically Injured Hypercubes, Meshes, and Tori
IEEE Transactions on Parallel and Distributed Systems
A General Theory for Deadlock Avoidance in Wormhole-Routed Networks
IEEE Transactions on Parallel and Distributed Systems
Retrospective: the turn model for adaptive routing
25 years of the international symposia on Computer architecture (selected papers)
A Tight Lower Bound on the Number of Channels Required for Deadlock-Free Wormhole Routing
IEEE Transactions on Computers
The Offset Cube: A Three-Dimensional Multicomputer Network Topology Using Through-Wafer Optics
IEEE Transactions on Parallel and Distributed Systems
Improving the performance of bristled CC-NUMA systems using virtual channels and adaptivity
ICS '99 Proceedings of the 13th international conference on Supercomputing
Periodically Regular Chordal Rings
IEEE Transactions on Parallel and Distributed Systems
Characterization of Deadlocks in k-ary n-Cube Networks
IEEE Transactions on Parallel and Distributed Systems
Flexible and Efficient Routing Based on Progressive Deadlock Recovery
IEEE Transactions on Computers
Adaptive and Deadlock-Free Routing for Irregular Faulty Patterns in Mesh Multicomputers
IEEE Transactions on Parallel and Distributed Systems
A Formal Model of Message Blocking and Deadlock Resolution in Interconnection Networks
IEEE Transactions on Parallel and Distributed Systems
High-Performance Routing in Networks of Workstations with Irregular Topology
IEEE Transactions on Parallel and Distributed Systems
Minimal adaptive routing with limited injection on Toroidal k-ary n-cubes
Supercomputing '96 Proceedings of the 1996 ACM/IEEE conference on Supercomputing
Barrier Synchronization on Wormhole-Routed Networks
IEEE Transactions on Parallel and Distributed Systems
A General Theory for Deadlock-Free Adaptive Routing Using a Mixed Set of Resources
IEEE Transactions on Parallel and Distributed Systems
Deadlock-Free Oblivious Wormhole Routing with Cyclic Dependencies
IEEE Transactions on Computers
Recursive Diagonal Torus: An Interconnection Network for Massively Parallel Computers
IEEE Transactions on Parallel and Distributed Systems
A Cost-Effective Approach to Deadlock Handling in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
ICS '02 Proceedings of the 16th international conference on Supercomputing
Network Performance under Physical Constraints
ICPP '97 Proceedings of the international Conference on Parallel Processing
Software-Based Deadlock Recovery Technique for True Fully Adaptive Routing in Wormhole Networks
ICPP '97 Proceedings of the international Conference on Parallel Processing
IPPS '96 Proceedings of the 10th International Parallel Processing Symposium
Performance Analysis of Minimal Adaptive Wormhole Routing with Time-Dependent Deadlock Recovery
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Crossbar Analysis for Optimal Deadlock Recovery Router Architecture
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Deadlock- and Livelock-Free Routing Protocols for Wave Switching
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Characterization of Deadlocks in Interconnection Networks
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Efficient Handling of Message-Dependent Deadlock
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
A Progressive Approach to Handling Message-Dependent Deadlock in Parallel Computer Systems
IEEE Transactions on Parallel and Distributed Systems
Fault-tolerant wormhole routing for hypercube networks
Information Processing Letters
Journal of Parallel and Distributed Computing
ZOMA: A Preemptive Deadlock Recovery Mechanism for Fully Adaptive Routing in Wormhole Networks
ICCNMC '01 Proceedings of the 2001 International Conference on Computer Networks and Mobile Computing (ICCNMC'01)
The Double Scheme: Deadlock-free Dynamic Reconfiguration of Cut-Through Networks
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
A Fault-Tolerant Adaptive and Minimal Routing Approach in n-D Meshes
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
IEEE Transactions on Parallel and Distributed Systems
Deadlock-Free Dynamic Reconfiguration Schemes for Increased Network Dependability
IEEE Transactions on Parallel and Distributed Systems
A Fault-Tolerant and Deadlock-Free Routing Protocol in 2D Meshes Based on Odd-Even Turn Model
IEEE Transactions on Computers
Applying In-Transit Buffers to Boost the Performance of Networks with Source Routing
IEEE Transactions on Computers
A fault-tolerant wormhole routing scheme for torus networks with nonconvex faults
Information Processing Letters
Part I: A Theory for Deadlock-Free Dynamic Network Reconfiguration
IEEE Transactions on Parallel and Distributed Systems
Part II: A Methodology for Developing Deadlock-Free Dynamic Network Reconfiguration Processes
IEEE Transactions on Parallel and Distributed Systems
Layered Routing in Irregular Networks
IEEE Transactions on Parallel and Distributed Systems
Enforcing in-order packet delivery in system area networks with adaptive routing
Journal of Parallel and Distributed Computing - Special issue: Design and performance of networks for super-, cluster-, and grid-computing: Part I
Deadlock-free routing and component placement for irregular mesh-based networks-on-chip
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
A survey of research and practices of Network-on-chip
ACM Computing Surveys (CSUR)
Performance comparison of routing algorithms in wormhole-switched networks
Parallel Computing
Deadlock-free connection-based adaptive routing with dynamic virtual circuits
Journal of Parallel and Distributed Computing
A deadlock detection mechanism for true fully adaptive routing in regular wormhole networks
Computer Communications
Throughput Region of Finite-Buffered Networks
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
A tool for automatic detection of deadlock in wormhole networks on chip
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip
Proceedings of the 45th annual Design Automation Conference
Efficient Deadlock Detection in Parallel Computer Systems with Wormhole Routing
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part I: ICCS 2007
Application-aware deadlock-free oblivious routing
Proceedings of the 36th annual international symposium on Computer architecture
Custom networks-on-chip architectures with multicast routing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
A routing methodology for dynamic fault tolerance in meshes and tori
HiPC'07 Proceedings of the 14th international conference on High performance computing
A method to remove deadlocks in networks-on-chips with wormhole flow control
Proceedings of the Conference on Design, Automation and Test in Europe
Formal specification of networks-on-chips: deadlock and evacuation
Proceedings of the Conference on Design, Automation and Test in Europe
Destination-based adaptive routing on 2D mesh networks
Proceedings of the 6th ACM/IEEE Symposium on Architectures for Networking and Communications Systems
A deadlock-free routing algorithm for dynamically reconfigurable Networks-on-Chip
Microprocessors & Microsystems
Verifying deadlock-freedom of communication fabrics
VMCAI'11 Proceedings of the 12th international conference on Verification, model checking, and abstract interpretation
NOCS '11 Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip
DBAR: an efficient routing algorithm to support multiple concurrent applications in networks-on-chip
Proceedings of the 38th annual international symposium on Computer architecture
Easy Formal Specification and Validation of Unbounded Networks-on-Chips Architectures
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Tree-turn routing: an efficient deadlock-free routing algorithm for irregular networks
The Journal of Supercomputing
Cost-Effective buffered wormhole routing
ISPA'04 Proceedings of the Second international conference on Parallel and Distributed Processing and Applications
Application-aware deadlock-free oblivious routing based on extended turn-model
Proceedings of the International Conference on Computer-Aided Design
A formal proof of a necessary and sufficient condition for deadlock-free adaptive networks
ITP'10 Proceedings of the First international conference on Interactive Theorem Proving
A simple and efficient input selection function for networks-on-chip
ICDCN'12 Proceedings of the 13th international conference on Distributed Computing and Networking
A new injection limitation mechanism for wormhole networks
Computer Communications
A case for random shortcut topologies for HPC interconnects
Proceedings of the 39th Annual International Symposium on Computer Architecture
An accurate performance model for network-on-chip and multicomputer interconnection networks
Journal of Parallel and Distributed Computing
New heuristic algorithms for low-energy mapping and routing in 3D NoC
International Journal of Computer Applications in Technology
Destination-based congestion awareness for adaptive routing in 2D mesh networks
ACM Transactions on Design Automation of Electronic Systems (TODAES) - Special Section on Networks on Chip: Architecture, Tools, and Methodologies
LEF: long edge first routing for two-dimensional mesh network on chip
Proceedings of the Sixth International Workshop on Network on Chip Architectures
Towards optimal adaptive routing in 3D NoC with limited vertical bandwidth
Proceedings of the Sixth International Workshop on Network on Chip Architectures
Analytical performance modeling of shuffle-exchange inspired mesh-based Network-on-Chips
Performance Evaluation
Journal of Systems Architecture: the EUROMICRO Journal
Hi-index | 0.02 |
Deadlock avoidance is a key issue in wormhole networks. A first approach [8] consists of removing the cyclic dependencies between channels. Many deterministic and adaptive routing algorithms have been proposed based on that approach. Although the absence of cyclic dependencies is a necessary and sufficient condition for deadlock-free deterministic routing, it is only a sufficient condition for deadlock-free adaptive routing. A more powerful approach [11] only requires the absence of cyclic dependencies on a connected channel subset. The remaining channels can be used in almost any way. In this paper, we show that the previously mentioned approach is also a sufficient condition. Moreover, we propose a necessary and sufficient condition for deadlock-free adaptive routing. This condition is the key for the design of fully adaptive routing algorithms with minimum restrictions. An example shows the application of the new theory.