The connection machine
Deadlock-Free Message Routing in Multiprocessor Interconnection Networks
IEEE Transactions on Computers
Hyperswitch network for the hypercube computer
ISCA '88 Proceedings of the 15th Annual International Symposium on Computer architecture
Deadlock avoidance for systolic communication
ISCA '88 Proceedings of the 15th Annual International Symposium on Computer architecture
Adaptive packet routing in a hypercube
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
Message routing schemes in a hypercube machine
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
Warp: an integrated solution of high-speed parallel computing
Proceedings of the 1988 ACM/IEEE conference on Supercomputing
High performance communications in processor networks
ISCA '89 Proceedings of the 16th annual international symposium on Computer architecture
Performance Analysis of k-ary n-cube Interconnection Networks
IEEE Transactions on Computers
EDMCC2 Proceedings of the 2nd European conference on Distributed memory computing
An Adaptive and Fault Tolerant Wormhole Routing Strategy for k-ary n-cubes
IEEE Transactions on Computers
ISCA '90 Proceedings of the 17th annual international symposium on Computer Architecture
A VLSI Architecture for Concurrent Data Structures
A VLSI Architecture for Concurrent Data Structures
IEEE Transactions on Parallel and Distributed Systems
The interaction between virtual channel flow control and adaptive routing in wormhole networks
ICS '94 Proceedings of the 8th international conference on Supercomputing
Fault-tolerant wormhole routing in tori
ICS '94 Proceedings of the 8th international conference on Supercomputing
Ariadne—an adaptive router for fault-tolerant multicomputers
ISCA '94 Proceedings of the 21st annual international symposium on Computer architecture
A universal proof technique for deadlock-free routing in interconnection networks
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
ROMM routing on mesh and torus networks
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
An efficient, fully adaptive deadlock recovery scheme: DISHA
ISCA '95 Proceedings of the 22nd annual international symposium on Computer architecture
Configurable flow control mechanisms for fault-tolerant routing
ISCA '95 Proceedings of the 22nd annual international symposium on Computer architecture
A Framework for Designing Deadlock-Free Wormhole Routing Algorithms
IEEE Transactions on Parallel and Distributed Systems
Distributed, Deadlock-Free Routing in Faulty, Pipelined, Direct Interconnection Networks
IEEE Transactions on Computers
Adaptive Fault-Tolerant Deadlock-Free Routing in Meshes and Hypercubes
IEEE Transactions on Computers
IEEE Transactions on Parallel and Distributed Systems
A Traffic-Balanced Adaptive Wormhole Routing Scheme for Two-Dimensional Meshes
IEEE Transactions on Computers
PP-MESS-SIM: A Flexible and Extensible Simulator for Evaluating Multicomputer Networks
IEEE Transactions on Parallel and Distributed Systems
Triplex: a multi-class routing algorithm
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
Deadlock-free oblivious wormhole routing with cyclic dependencies
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
A Theory of Fault-Tolerant Routing in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
Performance benefits of virtual channels and adaptive routing: an application-driven study
ICS '97 Proceedings of the 11th international conference on Supercomputing
On deadlocks in interconnection networks
Proceedings of the 24th annual international symposium on Computer architecture
A Fully Adaptive Routing Algorithm for Dynamically Injured Hypercubes, Meshes, and Tori
IEEE Transactions on Parallel and Distributed Systems
Resource Deadlocks and Performance of Wormhole Multicast Routing Algorithms
IEEE Transactions on Parallel and Distributed Systems
A General Theory for Deadlock Avoidance in Wormhole-Routed Networks
IEEE Transactions on Parallel and Distributed Systems
A Tight Lower Bound on the Number of Channels Required for Deadlock-Free Wormhole Routing
IEEE Transactions on Computers
Wormhole routing techniques for directly connected multicomputer systems
ACM Computing Surveys (CSUR)
Dynamically Configurable Message Flow Control for Fault-Tolerant Routing
IEEE Transactions on Parallel and Distributed Systems
Multidestination Message Passing in Wormhole k-ary n-cube Networks with Base Routing Conformed Paths
IEEE Transactions on Parallel and Distributed Systems
Multiple Multicast with Minimized Node Contention on Wormhole k-ary n-cube Networks
IEEE Transactions on Parallel and Distributed Systems
The Offset Cube: A Three-Dimensional Multicomputer Network Topology Using Through-Wafer Optics
IEEE Transactions on Parallel and Distributed Systems
Low-level router design and its impact on supercomputer system performance
ICS '99 Proceedings of the 13th international conference on Supercomputing
Improving the performance of bristled CC-NUMA systems using virtual channels and adaptivity
ICS '99 Proceedings of the 13th international conference on Supercomputing
A new method to make communication latency uniform: distributed routing balancing
ICS '99 Proceedings of the 13th international conference on Supercomputing
ICS '99 Proceedings of the 13th international conference on Supercomputing
Characterization of Deadlocks in k-ary n-Cube Networks
IEEE Transactions on Parallel and Distributed Systems
Routing in Wormhole-Switched Clustered Networks with Applications to Fault Tolerance
IEEE Transactions on Parallel and Distributed Systems
Fault-Tolerant Communication with Partitioned Dimension-Order Routers
IEEE Transactions on Parallel and Distributed Systems
A Testbed for Evaluation of Fault-Tolerant Routing in Multiprocessor Interconnection Networks
IEEE Transactions on Parallel and Distributed Systems
Flexible and Efficient Routing Based on Progressive Deadlock Recovery
IEEE Transactions on Computers
Adaptive-Trail Routing and Performance Evaluation in Irregular Networks Using Cut-Through Switches
IEEE Transactions on Parallel and Distributed Systems
A Performance Model for Duato's Fully Adaptive Routing Algorithm in k$k$-Ary n$n$-Cubes
IEEE Transactions on Computers
Adaptive and Deadlock-Free Routing for Irregular Faulty Patterns in Mesh Multicomputers
IEEE Transactions on Parallel and Distributed Systems
Software-Based Rerouting for Fault-Tolerant Pipelined Communication
IEEE Transactions on Parallel and Distributed Systems
A Formal Model of Message Blocking and Deadlock Resolution in Interconnection Networks
IEEE Transactions on Parallel and Distributed Systems
High-Performance Routing in Networks of Workstations with Irregular Topology
IEEE Transactions on Parallel and Distributed Systems
The Odd-Even Turn Model for Adaptive Routing
IEEE Transactions on Parallel and Distributed Systems
On the Use of Virtual Channels in Networks of Workstations with Irregular Topology
IEEE Transactions on Parallel and Distributed Systems
The Multi-Level Communication: Efficient Routing for Interconnection Networks
The Journal of Supercomputing
An Analytical Model of Adaptive Wormhole Routing in Hypercubes in the Presence of Hot Spot Traffic
IEEE Transactions on Parallel and Distributed Systems
Unicast-based broadcast: an analysis for the hypercube with adaptive routing
Proceedings of the 2001 ACM symposium on Applied computing
Analysis of adaptive wormhole-routed torus networks with IPP input traffic
Proceedings of the 2001 ACM symposium on Applied computing
Wait-Free Deflection Routing of Long Messages
IEEE Transactions on Parallel and Distributed Systems
Analytical Modeling of Wormhole-Routed k-Ary n-Cubes in the Presence of Hot-Spot Traffic
IEEE Transactions on Computers
A General Theory for Deadlock-Free Adaptive Routing Using a Mixed Set of Resources
IEEE Transactions on Parallel and Distributed Systems
Deadlock-Free Oblivious Wormhole Routing with Cyclic Dependencies
IEEE Transactions on Computers
A Cost-Effective Approach to Deadlock Handling in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
Analysis of Distributed Routing Balancing behavior
Proceedings of the 2002 ACM symposium on Applied computing
Communication delay in wormhole-routed torus networks
Proceedings of the 2002 ACM symposium on Applied computing
A simple mathematical model of adaptive routing in wormhole k-ary n-cubes
Proceedings of the 2002 ACM symposium on Applied computing
Locality-preserving randomized oblivious routing on torus networks
Proceedings of the fourteenth annual ACM symposium on Parallel algorithms and architectures
The Journal of Supercomputing
Fault-tolerant routing with non-adaptive wormhole algorithms in mesh networks
Proceedings of the 1994 ACM/IEEE conference on Supercomputing
A comparative study of arbitration algorithms for the Alpha 21364 pipelined router
Proceedings of the 10th international conference on Architectural support for programming languages and operating systems
On the merits of hypermeshes and tori with adaptive routing
Journal of Systems Architecture: the EUROMICRO Journal
The Alpha 21364 Network Architecture
IEEE Micro
Fault-Tolerant Wormhole Routing Algorithms for Mesh Networks
IEEE Transactions on Computers
Communication in Multicomputers with Nonconvex Faults
IEEE Transactions on Computers
Impact of Virtual Channels and Adaptive Routing on Application Performance
IEEE Transactions on Parallel and Distributed Systems
A Theory of Deadlock-Free Adaptive Multicast Routing in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
A Necessary and Sufficient Condition for Deadlock-Free Adaptive Routing in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
An Adaptive Fault-Tolerant Routing Algorithm for Hypercube Multicomputers
IEEE Transactions on Parallel and Distributed Systems
Alleviating Consumption Channel Bottleneck in Wormhole-Routed k-ary n-Cube Systems
IEEE Transactions on Parallel and Distributed Systems
A foundation for designing deadlock-free routing algorithms in wormhole networks
Journal of the ACM (JACM)
On Message.Dependent Deadlocks in Multiprocessor/Multicomputer Systems
HiPC '00 Proceedings of the 7th International Conference on High Performance Computing
Network Performance under Physical Constraints
ICPP '97 Proceedings of the international Conference on Parallel Processing
Software-Based Deadlock Recovery Technique for True Fully Adaptive Routing in Wormhole Networks
ICPP '97 Proceedings of the international Conference on Parallel Processing
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Generic Methodologies for Deadlock-Free Routing
IPPS '96 Proceedings of the 10th International Parallel Processing Symposium
IPPS '96 Proceedings of the 10th International Parallel Processing Symposium
Crossbar Analysis for Optimal Deadlock Recovery Router Architecture
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Deadlock- and Livelock-Free Routing Protocols for Wave Switching
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Characterization of Deadlocks in Interconnection Networks
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Performance Analysis of Wormhole-Switched k-Ary n-Cubes with Bursty Traffic
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Adaptive Fault-tolerant Wormhole Routing in 2D Meshes
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Efficient Handling of Message-Dependent Deadlock
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
ISHPC '00 Proceedings of the Third International Symposium on High Performance Computing
On the Influence of the Selection Function on the Performance of Networks of Workstations
ISHPC '00 Proceedings of the Third International Symposium on High Performance Computing
Avoiding Network Congestion with Local Information
ISHPC '02 Proceedings of the 4th International Symposium on High Performance Computing
On Deadlock Frequency during Dynamic Reconfiguration in NOWs
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
Analysis of Broadcast Communication in 2D Tori
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
Congestion Control Based on Transmission Times
Euro-Par '02 Proceedings of the 8th International Euro-Par Conference on Parallel Processing
Performance Analysis of Wormhole Switching with Adaptive Routing in a Two-Dimensional Torus
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
A Clustering Approach for Improving Network Performance in Heterogeneous Systems (Research Note)
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
A Genetic Routing Algorithm for a 2D-Meshed Fault-Tolerant Network System
AISA '02 Proceedings of the First International Workshop on Advanced Internet Services and Applications
An overview of the BlueGene/L Supercomputer
Proceedings of the 2002 ACM/IEEE conference on Supercomputing
A Progressive Approach to Handling Message-Dependent Deadlock in Parallel Computer Systems
IEEE Transactions on Parallel and Distributed Systems
Communication Delay in Wormhole-Switched Tori Networks under Bursty Workloads
The Journal of Supercomputing
Fast barrier synchronization in wormhole k-ary n-cube networks with multidestination worms
HPCA '95 Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
Efficient and balanced adaptive routing in two-dimensional meshes
HPCA '95 Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
Fault-tolerant adaptive routing for two-dimensional meshes
HPCA '95 Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
A Topology-Independent Generic Methodology for Deadlock-Free Wormhole Routing
HPCA '96 Proceedings of the 2nd IEEE Symposium on High-Performance Computer Architecture
Fault-Tolerance with Multimodule Routers
HPCA '96 Proceedings of the 2nd IEEE Symposium on High-Performance Computer Architecture
Analysis of Buffer Design for Adaptive Routing in Direct Networks
MASCOTS '96 Proceedings of the 4th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems
Fault-tolerant wormhole routing for hypercube networks
Information Processing Letters
The Double Scheme: Deadlock-free Dynamic Reconfiguration of Cut-Through Networks
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
A Performance Model of Adaptive Routing in k-Ary n-Cubes with Matrix-Transpose Traffic
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
On the Design of Communication-Aware Task Scheduling Strategies for Heterogeneous Systems
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
Analytical modelling of wormhole-routed k-ary n-cubes in the presence of matrix-transpose traffic
Journal of Parallel and Distributed Computing
Total-Exchange on Wormhole k-ary n-cubes with Adaptive Routing
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
An analytical model of wormhole-routed hypercubes under broadcast traffic
Performance Evaluation
Deadlock-Free Dynamic Reconfiguration Schemes for Increased Network Dependability
IEEE Transactions on Parallel and Distributed Systems
Performance prediction of wormhole switching in hypercubes with bursty traffic pattern
Proceedings of the 2003 ACM symposium on Applied computing
Modeling Latency in Deterministic Wormhole-Routed Hypercubes under Hot-Spot Traffic
The Journal of Supercomputing
A fault-tolerant wormhole routing scheme for torus networks with nonconvex faults
Information Processing Letters
A Performance Model for Wormhole-Switched Interconnection Networks under Self-Similar Traffic
IEEE Transactions on Computers
Switch fabric architecture analysis for a scalable bi-directionally reconfigurable IP router
Journal of Systems Architecture: the EUROMICRO Journal
Analysis of true fully adaptive routing with software-based deadlock recovery
Journal of Systems and Software - Special issue: Computer systems
On the development of a communication-aware task mapping technique
Journal of Systems Architecture: the EUROMICRO Journal
An Effective Methodology to Improve the Performance of the Up*/Down* Routing Algorithm
IEEE Transactions on Parallel and Distributed Systems
On the performance of multicomputer interconnection networks
Journal of Systems Architecture: the EUROMICRO Journal
Part I: A Theory for Deadlock-Free Dynamic Network Reconfiguration
IEEE Transactions on Parallel and Distributed Systems
Power Saving in Regular Interconnection Networks Built with High-Degree Switches
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
Analytical Modelling of Hot-Spot Traffic in Deterministically-Routed K-Ary N-Cubes
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 15 - Volume 16
Near-Optimal Worst-Case Throughput Routing for Two-Dimensional Mesh Networks
Proceedings of the 32nd annual international symposium on Computer Architecture
IEEE Transactions on Parallel and Distributed Systems
Adaptive Multimodule Routers for Multiprocessor Architectures
Information Systems Frontiers
Stochastic Analysis of Deterministic Routing Algorithms in the Presence of Self-Similar Traffic
The Journal of Supercomputing
Deadlock-free routing and component placement for irregular mesh-based networks-on-chip
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
A survey of research and practices of Network-on-chip
ACM Computing Surveys (CSUR)
Routing performance enhancement in hierarchical torus network by link-selection algorithm
Journal of Parallel and Distributed Computing - Special issue: Design and performance of networks for super-, cluster-, and grid-computing: Part II
Switch fabric design for high performance IP routers: a survey
Journal of Systems Architecture: the EUROMICRO Journal
A performance model of compressionless routing in k-ary n-cube networks
Performance Evaluation
Hamming hypermeshes: high performance interconnection networks for pin-out limited systems
Performance Evaluation
Explanation of Performance Degradation in Turn Model
The Journal of Supercomputing
Increasing the throughput of an adaptive router in network-on-chip (NoC)
CODES+ISSS '06 Proceedings of the 4th international conference on Hardware/software codesign and system synthesis
A methodology for design of application specific deadlock-free routing algorithms for NoC systems
CODES+ISSS '06 Proceedings of the 4th international conference on Hardware/software codesign and system synthesis
Design tradeoffs for tiled CMP on-chip networks
Proceedings of the 20th annual international conference on Supercomputing
ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip Routers
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture
Performance comparison of routing algorithms in wormhole-switched networks
Parallel Computing
A deadlock detection mechanism for true fully adaptive routing in regular wormhole networks
Computer Communications
A novel dimensionally-decomposed router for on-chip communication in 3D architectures
Proceedings of the 34th annual international symposium on Computer architecture
Profile-driven energy reduction in network-on-chips
Proceedings of the 2007 ACM SIGPLAN conference on Programming language design and implementation
A Necessary and Sufficient Condition for Deadlock-Free Adaptive Routing in Wormhole Networks
ICPP '94 Proceedings of the 1994 International Conference on Parallel Processing - Volume 01
Microprocessors & Microsystems
Performance analysis of fault-tolerant routing algorithm in wormhole-switched interconnections
The Journal of Supercomputing
Quasi-global routing for fault-tolerant high-performance interconnection networks
PDCN'07 Proceedings of the 25th conference on Proceedings of the 25th IASTED International Multi-Conference: parallel and distributed computing and networks
A new approach to model virtual channels in interconnection networks
Journal of Computer and System Sciences
International Journal of High Performance Computing and Networking
Proceedings of the 2007 Summer Computer Simulation Conference
Pipelined circuit switching: Analysis for the torus with non-uniform traffic
Journal of Systems Architecture: the EUROMICRO Journal
Deadlock free routing algorithms for irregular mesh topology NoC systems with rectangular regions
Journal of Systems Architecture: the EUROMICRO Journal
MIRA: A Multi-layered On-Chip Interconnect Router Architecture
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
Adding Slow-Silent Virtual Channels for Low-Power On-Chip Networks
NOCS '08 Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip
Analytic performance comparison of hypercubes and star graphs with implementation constraints
Journal of Computer and System Sciences
A new general method to compute virtual channels occupancy probabilities in wormhole networks
Journal of Computer and System Sciences
Performance Evaluation of Fully Adaptive Routing for the Torus Interconnect Networks
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part IV: ICCS 2007
Deadlock-Free Adaptive Routing in 2D Tori with a New Turn Model
ICA3PP '08 Proceedings of the 8th international conference on Algorithms and Architectures for Parallel Processing
An Adaptive and Fault-Tolerant Routing Algorithm for Meshes
ICCSA '08 Proceeding sof the international conference on Computational Science and Its Applications, Part I
Future Generation Computer Systems
Off-chip communication architectures for high throughput network processors
Computer Communications
The modeling power of CINSim: Performance evaluation of interconnection networks
Computer Networks: The International Journal of Computer and Telecommunications Networking
Application-aware deadlock-free oblivious routing
Proceedings of the 36th annual international symposium on Computer architecture
Design and performance of speculative flow control for high-radix datacenter interconnect switches
Journal of Parallel and Distributed Computing
HiRA: A methodology for deadlock free routing in hierarchical networks on chip
NOCS '09 Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip
Computers and Electrical Engineering
CODES+ISSS '09 Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis
Formal validation of deadlock prevention in networks-on-chips
Proceedings of the Eighth International Workshop on the ACL2 Theorem Prover and its Applications
A routing-table-based adaptive and minimal routing scheme on network-on-chip architectures
Computers and Electrical Engineering
A DAMQ shared buffer scheme for network-on-chip
CSS '07 Proceedings of the Fifth IASTED International Conference on Circuits, Signals and Systems
Adaptive routing for convergence enhanced ethernet
HPSR'09 Proceedings of the 15th international conference on High Performance Switching and Routing
Analytical modelling of networks in multicomputer systems under bursty and batch arrival traffic
The Journal of Supercomputing
A multi-path routing scheme for torus-based NOCs
International Journal of Computers and Applications
Compiler directed network-on-chip reliability enhancement for chip multiprocessors
Proceedings of the ACM SIGPLAN/SIGBED 2010 conference on Languages, compilers, and tools for embedded systems
Performance analysis of interconnection networks under bursty and batch arrival traffic
ICA3PP'07 Proceedings of the 7th international conference on Algorithms and architectures for parallel processing
rHALB: a new load-balanced routing algorithm for k-ary n-cube networks
APPT'07 Proceedings of the 7th international conference on Advanced parallel processing technologies
Design of a router for network-on-chip
International Journal of High Performance Systems Architecture
Complement routing: A methodology to design reliable routing algorithm for Network on Chips
Microprocessors & Microsystems
Power saving in regular interconnection networks
Parallel Computing
Performance modeling of n-dimensional mesh networks
Performance Evaluation
Increasing the adaptivity of routing algorithms for k-ary n-cubes
EUROMICRO-PDP'02 Proceedings of the 10th Euromicro conference on Parallel, distributed and network-based processing
Dynamic power saving in fat-tree interconnection networks using on/off links
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
On the probability distribution of busy virtual channels
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Software-based fault-tolerant routing algorithm in multi- dimensional networks
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
A new adaptive fault-tolerant protocol for direct multiprocessors networks
ICCOM'06 Proceedings of the 10th WSEAS international conference on Communications
Analysis of application-aware on-chip routing under traffic uncertainty
NOCS '11 Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip
Exploring partitioning methods for 3D Networks-on-Chip utilizing adaptive routing model
NOCS '11 Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip
An abacus turn model for time/space-efficient reconfigurable routing
Proceedings of the 38th annual international symposium on Computer architecture
DBAR: an efficient routing algorithm to support multiple concurrent applications in networks-on-chip
Proceedings of the 38th annual international symposium on Computer architecture
OBQA: Smart and cost-efficient queue scheme for Head-of-Line blocking elimination in fat-trees
Journal of Parallel and Distributed Computing
Euro-Par 2010 Proceedings of the 2010 conference on Parallel processing
The ReNoC Reconfigurable Network-on-Chip: Architecture, Configuration Algorithms, and Evaluation
ACM Transactions on Embedded Computing Systems (TECS)
The Journal of Supercomputing
Tree-turn routing: an efficient deadlock-free routing algorithm for irregular networks
The Journal of Supercomputing
Analytic modeling of channel traffic in n-cubes
CSR'06 Proceedings of the First international computer science conference on Theory and Applications
Analytic performance modeling of a fully adaptive routing algorithm in the torus
ISPA'05 Proceedings of the Third international conference on Parallel and Distributed Processing and Applications
Dynamic evolution of congestion trees: analysis and impact on switch architecture
HiPEAC'05 Proceedings of the First international conference on High Performance Embedded Architectures and Compilers
Performance modeling of a fully adaptive and fault-tolerant wormhole switching strategy in 2-d mesh
ICCSA'06 Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part V
Choice of inner switching mechanisms in terabit router
ICN'05 Proceedings of the 4th international conference on Networking - Volume Part I
DTBR: A dynamic thermal-balance routing algorithm for Network-on-Chip
Computers and Electrical Engineering
Building a terabit router with XD networks
ACSAC'05 Proceedings of the 10th Asia-Pacific conference on Advances in Computer Systems Architecture
SAMOS'06 Proceedings of the 6th international conference on Embedded Computer Systems: architectures, Modeling, and Simulation
Static routing for applications mapped on NoC platform using ant colony algorithms
International Journal of High Performance Systems Architecture
Energy-efficient non-minimal path on-chip interconnection network for heterogeneous systems
Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
ACO-Based static routing for network-on-chips
ICCSA'12 Proceedings of the 12th international conference on Computational Science and Its Applications - Volume Part I
An accurate performance model for network-on-chip and multicomputer interconnection networks
Journal of Parallel and Distributed Computing
A new load balanced routing algorithm for torus networks
ESCAPE'07 Proceedings of the First international conference on Combinatorics, Algorithms, Probabilistic and Experimental Methodologies
Power-aware fat-tree networks using on/off links
HPCC'07 Proceedings of the Third international conference on High Performance Computing and Communications
NoRD: Node-Router Decoupling for Effective Power-gating of On-Chip Routers
MICRO-45 Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture
Routing for applications in NoC using ACO-based algorithms
Applied Soft Computing
Randomized partially-minimal routing: near-optimal oblivious routing for 3-D mesh networks
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Expert Systems with Applications: An International Journal
A Region-based Fault-Tolerant Routing Algorithmfor 2D Irregular Mesh Network-on-Chip
Journal of Electronic Testing: Theory and Applications
LEF: long edge first routing for two-dimensional mesh network on chip
Proceedings of the Sixth International Workshop on Network on Chip Architectures
Towards optimal adaptive routing in 3D NoC with limited vertical bandwidth
Proceedings of the Sixth International Workshop on Network on Chip Architectures
A new proposal to deal with congestion in InfiniBand-based fat-trees
Journal of Parallel and Distributed Computing
Dual partitioning multicasting for high-performance on-chip networks
Journal of Parallel and Distributed Computing
Hi-index | 0.04 |
The theoretical background for the design of deadlock-free adaptive routing algorithmsfor wormhole networks is developed. The author proposes some basic definitions and twotheorems. These create the conditions to verify that an adaptive algorithm isdeadlock-free, even when there are cycles in the channel dependency graph. Two designmethodologies are also proposed. The first supplies algorithms with a high degree offreedom, without increasing the number of physical channels. The second methodology isintended for the design of fault-tolerant algorithms. Some examples are given to show theapplication of the methodologies. Simulations show the performance improvement thatcan be achieved by designing the routing algorithms with the new theory.