Communications of the ACM - Special section on computer architecture
A VLSI architecture for concurrent data structures
A VLSI architecture for concurrent data structures
Computer Networks
Deadlock-free packet switching networks
STOC '79 Proceedings of the eleventh annual ACM symposium on Theory of computing
Deadlock- and livelock-free packet switching networks
STOC '80 Proceedings of the twelfth annual ACM symposium on Theory of computing
A large scale, homogeneous, fully distributed parallel machine, I
ISCA '77 Proceedings of the 4th annual symposium on Computer architecture
The extension of object-oriented languages to a homogeneous, concurrent architecture
The extension of object-oriented languages to a homogeneous, concurrent architecture
Parallel Processing with the Perfect Shuffle
IEEE Transactions on Computers
A DAG-Based Algorithm for Prevention of Store-and-Forward Deadlock in Packet Networks
IEEE Transactions on Computers
The cube-connected-cycles: A versatile network for parallel computation
SFCS '79 Proceedings of the 20th Annual Symposium on Foundations of Computer Science
Hyperswitch network for the hypercube computer
ISCA '88 Proceedings of the 15th Annual International Symposium on Computer architecture
Pipelined data parallel algorithms—concept and modeling
ICS '88 Proceedings of the 2nd international conference on Supercomputing
Finite-grain message passing concurrent computers
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
High performance hypercube communications
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
Networks for parallel processors: measurements and prognostications
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
Distributed multi-destination routing in hypercube multiprocessors
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
Analysis of a 3D toroidal network for a shared memory architecture
Proceedings of the 1988 ACM/IEEE conference on Supercomputing
Warp: an integrated solution of high-speed parallel computing
Proceedings of the 1988 ACM/IEEE conference on Supercomputing
Communications of the ACM
Performance of the Direct Binary n-Cube Network for Multiprocessors
IEEE Transactions on Computers
A framework for adaptive routing in multicomputer networks
SPAA '89 Proceedings of the first annual ACM symposium on Parallel algorithms and architectures
High performance communications in processor networks
ISCA '89 Proceedings of the 16th annual international symposium on Computer architecture
CSC '89 Proceedings of the 17th conference on ACM Annual Computer Science Conference
Communication in iWarp systems
Proceedings of the 1989 ACM/IEEE conference on Supercomputing
Multiple crossbar network integrated supercomputing framework
Proceedings of the 1989 ACM/IEEE conference on Supercomputing
Performance Analysis of k-ary n-cube Interconnection Networks
IEEE Transactions on Computers
Fast, Deterministic Routing, on Hypercubes, Using Small Buffers
IEEE Transactions on Computers
Strategies for the Prevention of Communication Deadlocks in Distributed Parallel Programs
IEEE Transactions on Software Engineering
Average case analysis of greedy routing algorithms on arrays
SPAA '90 Proceedings of the second annual ACM symposium on Parallel algorithms and architectures
Message-passing algorithms for a SIMD torus with coteries
SPAA '90 Proceedings of the second annual ACM symposium on Parallel algorithms and architectures
Routing multiple paths in hypercubes
SPAA '90 Proceedings of the second annual ACM symposium on Parallel algorithms and architectures
Fast algorithms for bit-serial routing on a hypercube
SPAA '90 Proceedings of the second annual ACM symposium on Parallel algorithms and architectures
FLIP-FLOP: a stack-oriented multiprocessing system
SPAA '90 Proceedings of the second annual ACM symposium on Parallel algorithms and architectures
HARTS: A Distributed Real-Time Architecture
Computer - Special issue on real-time systems
An Adaptive and Fault Tolerant Wormhole Routing Strategy for k-ary n-cubes
IEEE Transactions on Computers
Deadlock-free multicast wormhole routing in multicomputer networks
ISCA '91 Proceedings of the 18th annual international symposium on Computer architecture
A framework for adaptive routing in multicomputer networks
ACM SIGARCH Computer Architecture News - Symposium on parallel algorithms and architectures
Message-passing algorithms for a SIMD torus with coteries
ACM SIGARCH Computer Architecture News - Symposium on parallel algorithms and architectures
FLIP-FLOP: a stack-oriented multiprocessing system
ACM SIGARCH Computer Architecture News - Symposium on parallel algorithms and architectures
An integration of network communication with workstation architecture
ACM SIGCOMM Computer Communication Review
Optimal Distance Networks of Low Degree for Parallel Computers
IEEE Transactions on Computers
Design of a highly reliable cube-connected cycles architecture
Proceedings of the 1991 ACM/IEEE conference on Supercomputing
FLIP-FLOP: a stack-oriented multiprocessing system
ACM SIGFORTH Newsletter - Special issue: Hardware
Methods for message routing in parallel machines
STOC '92 Proceedings of the twenty-fourth annual ACM symposium on Theory of computing
Load balanced deadlock-free deterministic routing of arbitrary networks
CSC '92 Proceedings of the 1992 ACM annual conference on Communications
Factors in the performance of the AN1 computer network
SIGMETRICS '92/PERFORMANCE '92 Proceedings of the 1992 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems
Requirements for deadlock-free, adaptive packet routing
PODC '92 Proceedings of the eleventh annual ACM symposium on Principles of distributed computing
Planar-adaptive routing: low-cost adaptive networks for multiprocessors
ISCA '92 Proceedings of the 19th annual international symposium on Computer architecture
The turn model for adaptive routing
ISCA '92 Proceedings of the 19th annual international symposium on Computer architecture
Asynchronous algorithms for the parallel simulation of event-driven dynamical systems
ACM Transactions on Modeling and Computer Simulation (TOMACS)
Adaptive deadlock- and livelock-free routing with all minimal paths in Torus networks
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
Waveform-relaxation-based circuit simulation on the Victor V256 parallel processor
IBM Journal of Research and Development
The network architecture of the Connection Machine CM-5 (extended abstract)
SPAA '92 Proceedings of the fourth annual ACM symposium on Parallel algorithms and architectures
Communications of the ACM
Models of machines and computation for mapping in multicomputers
ACM Computing Surveys (CSUR)
Fast deflection routing for packets and worms
PODC '93 Proceedings of the twelfth annual ACM symposium on Principles of distributed computing
A comparison of adaptive wormhole routing algorithms
ISCA '93 Proceedings of the 20th annual international symposium on computer architecture
Bounds on the efficiency of message-passing protocols for parallel computers
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
Supporting sets of arbitrary connections on iWarp through communication context switches
SPAA '93 Proceedings of the fifth annual ACM symposium on Parallel algorithms and architectures
The NuMesh: a modular, scalable communications substrate
ICS '93 Proceedings of the 7th international conference on Supercomputing
Optimal fully adaptive wormhole routing for meshes
Proceedings of the 1993 ACM/IEEE conference on Supercomputing
A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
The use of message-based multicomputer components to construct gigabit networks
ACM SIGCOMM Computer Communication Review
The interaction between virtual channel flow control and adaptive routing in wormhole networks
ICS '94 Proceedings of the 8th international conference on Supercomputing
Fault-tolerant wormhole routing in tori
ICS '94 Proceedings of the 8th international conference on Supercomputing
The turn model for adaptive routing
Journal of the ACM (JACM)
Adaptive Deadlock- and Livelock-Free Routing in the Hypercube Network
IEEE Transactions on Parallel and Distributed Systems
Adaptive Deadlock- and Livelock-Free Routing with All Minimal Paths in Torus Networks
IEEE Transactions on Parallel and Distributed Systems
Unicast-Based Multicast Communication in Wormhole-Routed Networks
IEEE Transactions on Parallel and Distributed Systems
Static and Run-Time Algorithms for All-to-Many Personalized Communication on Permutation Networks
IEEE Transactions on Parallel and Distributed Systems
Operationally Enhanced Folded Hypercubes
IEEE Transactions on Parallel and Distributed Systems
Storage-Efficient, Deadlock-Free Packet Routing Algorithms for Torus Networks
IEEE Transactions on Computers
Structural and Tree Embedding Aspects of Incomplete Hypercubes
IEEE Transactions on Computers
Virtual memory mapped network interface for the SHRIMP multicomputer
ISCA '94 Proceedings of the 21st annual international symposium on Computer architecture
Ariadne—an adaptive router for fault-tolerant multicomputers
ISCA '94 Proceedings of the 21st annual international symposium on Computer architecture
Compressionless routing: a framework for adaptive and fault-tolerant routing
ISCA '94 Proceedings of the 21st annual international symposium on Computer architecture
Optimizing resource utilization and testability using hot potato techniques
DAC '94 Proceedings of the 31st annual Design Automation Conference
Planar-adaptive routing: low-cost adaptive networks for multiprocessors
Journal of the ACM (JACM)
Formal specification and design of a message router
ACM Transactions on Software Engineering and Methodology (TOSEM)
Distributed Synchronous Clocking
IEEE Transactions on Parallel and Distributed Systems
An Interface to a Reliable Packet Delivery Service for Parallel Systems
IEEE Transactions on Parallel and Distributed Systems
A Family of Fault-Tolerant Routing Protocols for Direct Multiprocessor Networks
IEEE Transactions on Parallel and Distributed Systems
POLYSHIFT communications software for the connection machine system CM-200
Scientific Programming
Universal congestion control for meshes
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
A universal proof technique for deadlock-free routing in interconnection networks
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
ROMM routing on mesh and torus networks
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
Flexible oblivious router architecture
IBM Journal of Research and Development
An efficient, fully adaptive deadlock recovery scheme: DISHA
ISCA '95 Proceedings of the 22nd annual international symposium on Computer architecture
Analysis and implementation of hybrid switching
ISCA '95 Proceedings of the 22nd annual international symposium on Computer architecture
Configurable flow control mechanisms for fault-tolerant routing
ISCA '95 Proceedings of the 22nd annual international symposium on Computer architecture
Decoupling synchronization and data transfer in message passing systems of parallel computers
ICS '95 Proceedings of the 9th international conference on Supercomputing
Petri net modeling of interconnection networks for massively parallel architectures
ICS '95 Proceedings of the 9th international conference on Supercomputing
A near-optimal broadcasting algorithm in all-port wormhole-routed hypercubes
ICS '95 Proceedings of the 9th international conference on Supercomputing
Memory requirement for universal routing schemes
Proceedings of the fourteenth annual ACM symposium on Principles of distributed computing
Circuit-Switched Broadcasting in Torus Networks
IEEE Transactions on Parallel and Distributed Systems
A Trip-Based Multicasting Model in Wormhole-Routed Networks with Virtual Channels
IEEE Transactions on Parallel and Distributed Systems
A Framework for Designing Deadlock-Free Wormhole Routing Algorithms
IEEE Transactions on Parallel and Distributed Systems
Distributed, Deadlock-Free Routing in Faulty, Pipelined, Direct Interconnection Networks
IEEE Transactions on Computers
Adaptive Fault-Tolerant Deadlock-Free Routing in Meshes and Hypercubes
IEEE Transactions on Computers
Analysis and Implementation of Hybrid Switching
IEEE Transactions on Computers
A Conflict Sense Routing Protocol and Its Performance for Hypercubes
IEEE Transactions on Computers
A Theory of Wormhole Routing in Parallel Computers
IEEE Transactions on Computers
On Bufferless Routing of Variable Length Messages in Leveled Networks
IEEE Transactions on Computers
A router architecture for real-time point-to-point networks
ISCA '96 Proceedings of the 23rd annual international symposium on Computer architecture
Early experience with message-passing on the SHRIMP multicomputer
ISCA '96 Proceedings of the 23rd annual international symposium on Computer architecture
On the benefit of supporting virtual channels in wormhole routers
Proceedings of the eighth annual ACM symposium on Parallel algorithms and architectures
Universal continuous routing strategies
Proceedings of the eighth annual ACM symposium on Parallel algorithms and architectures
Local memory requirement of universal routing schemes
Proceedings of the eighth annual ACM symposium on Parallel algorithms and architectures
Evaluating virtual channels for cache-coherent shared-memory multiprocessors
ICS '96 Proceedings of the 10th international conference on Supercomputing
Universal algorithms for store-and-forward and wormhole routing
STOC '96 Proceedings of the twenty-eighth annual ACM symposium on Theory of computing
IEEE Transactions on Parallel and Distributed Systems
A Broadcast Algorithm for All-Port Wormhole-Routed Torus Networks
IEEE Transactions on Parallel and Distributed Systems
WICI: An Efficient Hybrid Routing Scheme for Scalable and Hierarchical Networks
IEEE Transactions on Computers
Multicasting protocols for high-speed, wormhole-routing local area networks
Conference proceedings on Applications, technologies, architectures, and protocols for computer communications
Compressionless Routing: A Framework for Adaptive and Fault-Tolerant Routing
IEEE Transactions on Parallel and Distributed Systems
A Scalable Multibus Configuration for Connecting Transputer Links
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
A Traffic-Balanced Adaptive Wormhole Routing Scheme for Two-Dimensional Meshes
IEEE Transactions on Computers
IEEE Transactions on Parallel and Distributed Systems
Optimally adaptive, minimum-distance, circuit-switched routing in hypercubes
ACM Transactions on Computer Systems (TOCS)
Performance Analysis of Buffering Schemes in Wormhole Routers
IEEE Transactions on Computers
PP-MESS-SIM: A Flexible and Extensible Simulator for Evaluating Multicomputer Networks
IEEE Transactions on Parallel and Distributed Systems
Wormhole run-time reconfiguration
FPGA '97 Proceedings of the 1997 ACM fifth international symposium on Field-programmable gate arrays
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
Triplex: a multi-class routing algorithm
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
Deadlock-free oblivious wormhole routing with cyclic dependencies
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
Better trade-offs for parallel list ranking
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
A SAT-based network access scheme for fairness in high speed networks
IEEE/ACM Transactions on Networking (TON)
A Theory of Fault-Tolerant Routing in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
All-to-All Broadcasting in Faulty Hypercubes
IEEE Transactions on Computers
Performance benefits of virtual channels and adaptive routing: an application-driven study
ICS '97 Proceedings of the 11th international conference on Supercomputing
On deadlocks in interconnection networks
Proceedings of the 24th annual international symposium on Computer architecture
Proceedings of the 24th annual international symposium on Computer architecture
An Improved Algorithm for Fault-Tolerant Wormhole Routing in Meshes
IEEE Transactions on Computers
The Case for Chaotic Adaptive Routing
IEEE Transactions on Computers
Edge Congestion of Shortest Path Systems for All-to-All Communication
IEEE Transactions on Parallel and Distributed Systems
A Cost and Speed Model for k-ary n-Cube Wormhole Routers
IEEE Transactions on Parallel and Distributed Systems
A Fully Adaptive Routing Algorithm for Dynamically Injured Hypercubes, Meshes, and Tori
IEEE Transactions on Parallel and Distributed Systems
Deadlock-free routing in arbitrary networks via the flattest common supersequence method
Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures
Depth contention-free broadcasting on torus networks
ICS '98 Proceedings of the 12th international conference on Supercomputing
Applying segment routing to k-ary n-cube networks
ICS '98 Proceedings of the 12th international conference on Supercomputing
Designing Tree-Based Barrier Synchronization on 2D Mesh Networks
IEEE Transactions on Parallel and Distributed Systems
Resource Deadlocks and Performance of Wormhole Multicast Routing Algorithms
IEEE Transactions on Parallel and Distributed Systems
A General Theory for Deadlock Avoidance in Wormhole-Routed Networks
IEEE Transactions on Parallel and Distributed Systems
A Theory for Total Exchange in Multidimensional Interconnection Networks
IEEE Transactions on Parallel and Distributed Systems
A Priority-Driven Flow Control Mechanism for Real-Time Traffic in Multiprocessor Networks
IEEE Transactions on Parallel and Distributed Systems
Performance issues of a distributed frame buffer on a multicomputer
HWWS '98 Proceedings of the ACM SIGGRAPH/EUROGRAPHICS workshop on Graphics hardware
Retrospective: the turn model for adaptive routing
25 years of the international symposia on Computer architecture (selected papers)
The turn model for adaptive routing
25 years of the international symposia on Computer architecture (selected papers)
Virtual memory mapped network interface for the SHRIMP multicomputer
25 years of the international symposia on Computer architecture (selected papers)
A Router Architecture for Real-Time Communication in Multicomputer Networks
IEEE Transactions on Computers
A Tight Lower Bound on the Number of Channels Required for Deadlock-Free Wormhole Routing
IEEE Transactions on Computers
Efficient Broadcast and Multicast on Multistage Interconnection Networks Using Multiport Encoding
IEEE Transactions on Parallel and Distributed Systems
Wormhole routing techniques for directly connected multicomputer systems
ACM Computing Surveys (CSUR)
Dynamically Configurable Message Flow Control for Fault-Tolerant Routing
IEEE Transactions on Parallel and Distributed Systems
A Router Architecture for Flexible Routing and Switching in Multihop Point-To-Point Networks
IEEE Transactions on Parallel and Distributed Systems
Multidestination Message Passing in Wormhole k-ary n-cube Networks with Base Routing Conformed Paths
IEEE Transactions on Parallel and Distributed Systems
Multiple Multicast with Minimized Node Contention on Wormhole k-ary n-cube Networks
IEEE Transactions on Parallel and Distributed Systems
The Offset Cube: A Three-Dimensional Multicomputer Network Topology Using Through-Wafer Optics
IEEE Transactions on Parallel and Distributed Systems
Low-level router design and its impact on supercomputer system performance
ICS '99 Proceedings of the 13th international conference on Supercomputing
Improving the performance of bristled CC-NUMA systems using virtual channels and adaptivity
ICS '99 Proceedings of the 13th international conference on Supercomputing
A new method to make communication latency uniform: distributed routing balancing
ICS '99 Proceedings of the 13th international conference on Supercomputing
ICS '99 Proceedings of the 13th international conference on Supercomputing
Periodically Regular Chordal Rings
IEEE Transactions on Parallel and Distributed Systems
The composite binary cube — a family of interconnection networks for multiprocessors
ICS '89 Proceedings of the 3rd international conference on Supercomputing
Characterization of Deadlocks in k-ary n-Cube Networks
IEEE Transactions on Parallel and Distributed Systems
Fault-Tolerant Communication with Partitioned Dimension-Order Routers
IEEE Transactions on Parallel and Distributed Systems
Flexible and Efficient Routing Based on Progressive Deadlock Recovery
IEEE Transactions on Computers
Adaptive-Trail Routing and Performance Evaluation in Irregular Networks Using Cut-Through Switches
IEEE Transactions on Parallel and Distributed Systems
Asynchronous Tree-Based Multicasting in Wormhole-Switched MINs
IEEE Transactions on Parallel and Distributed Systems
ISCA '90 Proceedings of the 17th annual international symposium on Computer Architecture
PLUS: a distributed shared-memory system
ISCA '90 Proceedings of the 17th annual international symposium on Computer Architecture
A Performance Model for Duato's Fully Adaptive Routing Algorithm in k$k$-Ary n$n$-Cubes
IEEE Transactions on Computers
Distributed Path Reservation Algorithms for Multiplexed All-Optical Interconnection Networks
IEEE Transactions on Computers
Adaptive and Deadlock-Free Routing for Irregular Faulty Patterns in Mesh Multicomputers
IEEE Transactions on Parallel and Distributed Systems
A new switch chip for IBM RS/6000 SP systems
SC '99 Proceedings of the 1999 ACM/IEEE conference on Supercomputing
Software-Based Rerouting for Fault-Tolerant Pipelined Communication
IEEE Transactions on Parallel and Distributed Systems
Recursive Cube of Rings: A New Topology for Interconnection Networks
IEEE Transactions on Parallel and Distributed Systems
Improving parallel system performance by changing the arrangement of the network links
Proceedings of the 14th international conference on Supercomputing
A generic architecture for on-chip packet-switched interconnections
DATE '00 Proceedings of the conference on Design, automation and test in Europe
High-Performance Routing in Networks of Workstations with Irregular Topology
IEEE Transactions on Parallel and Distributed Systems
The Odd-Even Turn Model for Adaptive Routing
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
On the Use of Virtual Channels in Networks of Workstations with Irregular Topology
IEEE Transactions on Parallel and Distributed Systems
Incremental Design of Scalable Interconnection Networks Using Basic Building Blocks
IEEE Transactions on Parallel and Distributed Systems
Performance modeling of multihop network subject to uniform and nonuniform geometric traffic
IEEE/ACM Transactions on Networking (TON)
Routing in the bidirectional shufflenet
IEEE/ACM Transactions on Networking (TON)
Minimal adaptive routing with limited injection on Toroidal k-ary n-cubes
Supercomputing '96 Proceedings of the 1996 ACM/IEEE conference on Supercomputing
The Multi-Level Communication: Efficient Routing for Interconnection Networks
The Journal of Supercomputing
An Analytical Model of Adaptive Wormhole Routing in Hypercubes in the Presence of Hot Spot Traffic
IEEE Transactions on Parallel and Distributed Systems
A Protocol for Deadlock-Free Dynamic Reconfiguration in High-Speed Local Area Networks
IEEE Transactions on Parallel and Distributed Systems
A Fault-Tolerant Routing Scheme for Meshes with Nonconvex Faults
IEEE Transactions on Parallel and Distributed Systems
Wait-Free Deflection Routing of Long Messages
IEEE Transactions on Parallel and Distributed Systems
Optimal Processor Mapping for Linear-Complement Communication on Hypercubes
IEEE Transactions on Parallel and Distributed Systems
Communication in the two-way listen-in vertex-disjoint paths mode
Theoretical Computer Science
Analytical Modeling of Wormhole-Routed k-Ary n-Cubes in the Presence of Hot-Spot Traffic
IEEE Transactions on Computers
Wormhole IP over (connectionless) ATM
IEEE/ACM Transactions on Networking (TON)
A General Theory for Deadlock-Free Adaptive Routing Using a Mixed Set of Resources
IEEE Transactions on Parallel and Distributed Systems
Four-Ary Tree-Based Barrier Synchronization for 2D Meshes without Nonmember Involvement
IEEE Transactions on Computers - Special issue on the parallel architecture and compilation techniques conference
IEEE Transactions on Parallel and Distributed Systems
Deadlock-Free Oblivious Wormhole Routing with Cyclic Dependencies
IEEE Transactions on Computers
Recursive Diagonal Torus: An Interconnection Network for Massively Parallel Computers
IEEE Transactions on Parallel and Distributed Systems
A Cost-Effective Approach to Deadlock Handling in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
A simple mathematical model of adaptive routing in wormhole k-ary n-cubes
Proceedings of the 2002 ACM symposium on Applied computing
FM-QoS: real-time communication using self-synchronizing schedules
SC '97 Proceedings of the 1997 ACM/IEEE conference on Supercomputing
ICS '02 Proceedings of the 16th international conference on Supercomputing
A lightweight idempotent messaging protocol for faulty networks
Proceedings of the fourteenth annual ACM symposium on Parallel algorithms and architectures
HiPER: A Compact Narrow Channel Router with Hop-by-Hop Error Correction
IEEE Transactions on Parallel and Distributed Systems
Modeling of interconnection subsystems for massively parallel computers
Performance Evaluation
The Journal of Supercomputing
Performance tuning of adaptive wormhole routing through selection function choice
Journal of Parallel and Distributed Computing
Scheduling of unstructured communication on the Intel iPSC/860
Proceedings of the 1994 ACM/IEEE conference on Supercomputing
Fault-tolerant routing with non-adaptive wormhole algorithms in mesh networks
Proceedings of the 1994 ACM/IEEE conference on Supercomputing
Design and evaluation of a DAMQ multiprocessor network with self-compacting buffers
Proceedings of the 1994 ACM/IEEE conference on Supercomputing
Hypermeshes: implementation and performance
Journal of Systems Architecture: the EUROMICRO Journal
On the merits of hypermeshes and tori with adaptive routing
Journal of Systems Architecture: the EUROMICRO Journal
Simplifying Connection-Based Communication
IEEE Parallel & Distributed Technology: Systems & Technology
Adaptive Routing for Dynamic Applications in Massively Parallel Architectures
IEEE Parallel & Distributed Technology: Systems & Technology
Problems with Comparing Interconnection Networks: Is an Alligator Better Than an Armadillo?
IEEE Parallel & Distributed Technology: Systems & Technology
Inside Parallel Computers: Trends in Interconnection Networks
IEEE Computational Science & Engineering
TNet: A Reliable System Area Network
IEEE Micro
A Fault-Tolerant Communication Scheme for Hypercube Computers
IEEE Transactions on Computers
A Cube-Connected Cycles Architecture with High Reliability and Improved Performance
IEEE Transactions on Computers
Manipulating General Vectors on Synchronous Binary N-Cube
IEEE Transactions on Computers
Scattering and Gathering Messages in Networks of Processors
IEEE Transactions on Computers
Deadlock-Free Fault-Tolerant Routing in Injured Hypercubes
IEEE Transactions on Computers
Cost-Performance Trade-Offs in Manhattan Street Network Versus 2-D Torus
IEEE Transactions on Computers
Hypercube Communication Delay with Wormhole Routing
IEEE Transactions on Computers
Fault-Tolerant Wormhole Routing Algorithms for Mesh Networks
IEEE Transactions on Computers
A Performance Model of Pipelined k-ary n-cubes
IEEE Transactions on Computers
Valved Routing: Efficient Flow Control for Adaptive Nonminimal Routing in Interconnection Networks
IEEE Transactions on Computers
The Performance of Crossbar-Based Binary Hypercubes
IEEE Transactions on Computers
Hypercube Multiprocessors with Bus Connections for Improving Communication Performance
IEEE Transactions on Computers
A Fault-Tolerant Routing Strategy in Hypercube Multicomputers
IEEE Transactions on Computers
Communication in Multicomputers with Nonconvex Faults
IEEE Transactions on Computers
Pipelined Data Parallel Algorithms-I: Concept and Modeling
IEEE Transactions on Parallel and Distributed Systems
Pipelined Data Parallel Algorithms-II: Design
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Cube Connected Mobius Ladders: An Inherently Deadlock-Free Fixed Degree Network
IEEE Transactions on Parallel and Distributed Systems
Multinode Broadcast in Hypercubes and Rings with Randomly Distributed Length of Packets
IEEE Transactions on Parallel and Distributed Systems
Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels
IEEE Transactions on Parallel and Distributed Systems
A Network Flow Model for Load Balancing in Circuit-Switched Multicomputers
IEEE Transactions on Parallel and Distributed Systems
Multicast Communication in Multicomputer Networks
IEEE Transactions on Parallel and Distributed Systems
The Impact of Pipelined Channels on k-ary n-Cube Networks
IEEE Transactions on Parallel and Distributed Systems
Performance Analysis of Mesh Interconnection Networks with Deterministic Routing
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Interleaved All-to-All Reliable Broadcast on Meshes and Hypercubes
IEEE Transactions on Parallel and Distributed Systems
Communication Aspects of the Star Graph Interconnection Network
IEEE Transactions on Parallel and Distributed Systems
Deadlock-Free Multicast Wormhole Routing in 2-D Mesh Multicomputers
IEEE Transactions on Parallel and Distributed Systems
The Message Flow Model for Routing in Wormhole-Routed Networks
IEEE Transactions on Parallel and Distributed Systems
A Theory of Deadlock-Free Adaptive Multicast Routing in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
Optimal Multicast Communication in Wormhole-Routed Torus Networks
IEEE Transactions on Parallel and Distributed Systems
A Necessary and Sufficient Condition for Deadlock-Free Adaptive Routing in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
Packet Synchronization for Synchronous Optical Deflection-Routed Interconnection Networks
IEEE Transactions on Parallel and Distributed Systems
Alleviating Consumption Channel Bottleneck in Wormhole-Routed k-ary n-Cube Systems
IEEE Transactions on Parallel and Distributed Systems
MediaWorm: A QoS Capable Router Architecture for Clusters
IEEE Transactions on Parallel and Distributed Systems
Journal of Parallel and Distributed Computing
A foundation for designing deadlock-free routing algorithms in wormhole networks
Journal of the ACM (JACM)
Experience with Applying Formal Methods to Protocol Specification and System Architecture
Formal Methods in System Design
On Message.Dependent Deadlocks in Multiprocessor/Multicomputer Systems
HiPC '00 Proceedings of the 7th International Conference on High Performance Computing
An Euler Path Based Technique for Deadlock-free Multicasting
ICPP '97 Proceedings of the international Conference on Parallel Processing
Network Performance under Physical Constraints
ICPP '97 Proceedings of the international Conference on Parallel Processing
Design of Scalable and Multicast Capable Cut-Through Switches for High-Speed LANs
ICPP '97 Proceedings of the international Conference on Parallel Processing
An Improved Analytical Model for Wormhole Routed Networks with Application to Butterfly Fat-Trees
ICPP '97 Proceedings of the international Conference on Parallel Processing
Software-Based Deadlock Recovery Technique for True Fully Adaptive Routing in Wormhole Networks
ICPP '97 Proceedings of the international Conference on Parallel Processing
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Analyzing the Influence of Virtual Lanes on the Performance of InfiniBand Networks
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Generic Methodologies for Deadlock-Free Routing
IPPS '96 Proceedings of the 10th International Parallel Processing Symposium
ServerNet Deadlock Avoidance and Fractahedral Topologies
IPPS '96 Proceedings of the 10th International Parallel Processing Symposium
A New Approach to Fault-Tolerant Wormhole Routing for Mesh-Connected Parallel Computers
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Performance Analysis of Minimal Adaptive Wormhole Routing with Time-Dependent Deadlock Recovery
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Adaptive Fault-Tolerant Wormhole Routing Algorithms for Hypercube and Mesh Interconnection
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
A Hybrid Interconnection Network for Integrated Communication Services
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Layered Shortest Path (LASH) Routing in Irregular System Area Networks
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Deadlock- and Livelock-Free Routing Protocols for Wave Switching
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Performance Evaluation of I/O Traffic and Placement of I/O Nodes on a High Performance Network
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Dynamically Scaling Computer Networks
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Performance Evaluation of the Quadrics Interconnection Network
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Efficient Handling of Message-Dependent Deadlock
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
A Simple Incremental Network Topology for Wormhole Switch-Based Networks
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
A Flexible Routing Scheme for Networks of Workstations
ISHPC '00 Proceedings of the Third International Symposium on High Performance Computing
Avoiding Network Congestion with Local Information
ISHPC '02 Proceedings of the 4th International Symposium on High Performance Computing
Improving InfiniBand Routing through Multiple Virtual Networks
ISHPC '02 Proceedings of the 4th International Symposium on High Performance Computing
Formal Verification of the Alpha 21364 Network Protocol
TPHOLs '00 Proceedings of the 13th International Conference on Theorem Proving in Higher Order Logics
Bidirectional versus Unidirectional Networks: Cost/Performance Trade-Offs
MASCOTS '95 Proceedings of the 3rd International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems
Improving the Up*/Down* Routing Scheme for Networks of Workstations
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
On Deadlock Frequency during Dynamic Reconfiguration in NOWs
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
An Analytical Model of Deterministic Routing in the Presence of Hot-Spot Traffic
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
Efficient Communication Schemes
SOFSEM '98 Proceedings of the 25th Conference on Current Trends in Theory and Practice of Informatics: Theory and Practice of Informatics
Edinet: An Execution Driven Interconnection Network Simulator for DSM Systems
TOOLS '98 Proceedings of the 10th International Conference on Computer Performance Evaluation: Modelling Techniques and Tools
A Genetic Routing Algorithm for a 2D-Meshed Fault-Tolerant Network System
AISA '02 Proceedings of the First International Workshop on Advanced Internet Services and Applications
Fault-Tolerant Broadcasting in Wormhole-Routed Torus Networks
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
An overview of the BlueGene/L Supercomputer
Proceedings of the 2002 ACM/IEEE conference on Supercomputing
A Progressive Approach to Handling Message-Dependent Deadlock in Parallel Computer Systems
IEEE Transactions on Parallel and Distributed Systems
Throughput-centric routing algorithm design
Proceedings of the fifteenth annual ACM symposium on Parallel algorithms and architectures
Analysis of k-ary n-cubes with dimension-ordered routing
Future Generation Computer Systems - Selected papers from CCGRID 2002
Distributed synchronous clocking
ARVLSI '95 Proceedings of the 16th Conference on Advanced Research in VLSI (ARVLSI'95)
Pipelined Multi-Queue Management in a VLSI ATM Switch Chip with Credit-Based Flow-Control
ARVLSI '97 Proceedings of the 17th Conference on Advanced Research in VLSI (ARVLSI '97)
Analysis of deadlock-free path-based wormhole multicasting in meshes in case of contentions
FRONTIERS '96 Proceedings of the 6th Symposium on the Frontiers of Massively Parallel Computation
Fast barrier synchronization in wormhole k-ary n-cube networks with multidestination worms
HPCA '95 Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
Origin-based fault-tolerant routing in the mesh
HPCA '95 Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
Fault-tolerant adaptive routing for two-dimensional meshes
HPCA '95 Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
HPCA '95 Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
Modeling virtual channel flow control in hypercubes
HPCA '95 Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
Fault-Tolerant Multicast Routing in the Mesh with No Virtual Channels
HPCA '96 Proceedings of the 2nd IEEE Symposium on High-Performance Computer Architecture
A Topology-Independent Generic Methodology for Deadlock-Free Wormhole Routing
HPCA '96 Proceedings of the 2nd IEEE Symposium on High-Performance Computer Architecture
Fault-Tolerance with Multimodule Routers
HPCA '96 Proceedings of the 2nd IEEE Symposium on High-Performance Computer Architecture
Analysis of Buffer Design for Adaptive Routing in Direct Networks
MASCOTS '96 Proceedings of the 4th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems
A journey into multicomputer routing algorithms
PAS '95 Proceedings of the First Aizu International Symposium on Parallel Algorithms/Architecture Synthesis
Performance of Congestion Control Mechanisms in Wormhole Routing Networks
INFOCOM '97 Proceedings of the INFOCOM '97. Sixteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Driving the Information Revolution
Sparse hypercube: a minimal k-line broadcast graph
Discrete Applied Mathematics
Journal of Parallel and Distributed Computing
ZOMA: A Preemptive Deadlock Recovery Mechanism for Fully Adaptive Routing in Wormhole Networks
ICCNMC '01 Proceedings of the 2001 International Conference on Computer Networks and Mobile Computing (ICCNMC'01)
A Fault-Tolerant Adaptive and Minimal Routing Approach in n-D Meshes
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
Fast Dynamic Reconfiguration in Irregular Networks
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
A Performance Model of Adaptive Routing in k-Ary n-Cubes with Matrix-Transpose Traffic
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
Verilog modeling and simulation of a communication coprocessor for multicomputers
IVC '95 Proceedings of the 4th IEEE International Verilog HDL Conference
Deadlock prevention by acyclic orientations
Discrete Applied Mathematics - Special issue: Algorithmic aspects of communication
Analytical modelling of wormhole-routed k-ary n-cubes in the presence of matrix-transpose traffic
Journal of Parallel and Distributed Computing
IEEE Transactions on Parallel and Distributed Systems
Deadlock-Free Dynamic Reconfiguration Schemes for Increased Network Dependability
IEEE Transactions on Parallel and Distributed Systems
A Fault-Tolerant and Deadlock-Free Routing Protocol in 2D Meshes Based on Odd-Even Turn Model
IEEE Transactions on Computers
Applying In-Transit Buffers to Boost the Performance of Networks with Source Routing
IEEE Transactions on Computers
Using graph theory to reduce communication overhead in parallel systems
Journal of Computing Sciences in Colleges
Modeling Latency in Deterministic Wormhole-Routed Hypercubes under Hot-Spot Traffic
The Journal of Supercomputing
Prevention of deadlocks and livelocks in lossless backpressured packet networks
IEEE/ACM Transactions on Networking (TON)
A New Approach to Fault-Tolerant Wormhole Routing for Mesh-Connected Parallel Computers
IEEE Transactions on Computers
The hierarchical cliques interconnection network
Journal of Parallel and Distributed Computing
Switch fabric architecture analysis for a scalable bi-directionally reconfigurable IP router
Journal of Systems Architecture: the EUROMICRO Journal
Multipath-Based Multicasting Strategies for Wormhole-Routed Star Graph Interconnection Networks
The Journal of Supercomputing
Multi-phase minimal fault-tolerant wormhole routing in meshes
Parallel Computing
Exploring Virtual Network Selection Algorithms in DSM Cache Coherence Protocols
IEEE Transactions on Parallel and Distributed Systems
An Effective Methodology to Improve the Performance of the Up*/Down* Routing Algorithm
IEEE Transactions on Parallel and Distributed Systems
A Novel Optimal Load Distribution Algorithm for Divisible Loads
Cluster Computing
Micro-Network for SoC: Implementation of a 32-Port SPIN network
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
On the performance of multicomputer interconnection networks
Journal of Systems Architecture: the EUROMICRO Journal
Scalable Hardware-Based Multicast Trees
Proceedings of the 2003 ACM/IEEE conference on Supercomputing
IEEE Transactions on Computers
Part II: A Methodology for Developing Deadlock-Free Dynamic Network Reconfiguration Processes
IEEE Transactions on Parallel and Distributed Systems
Siamese-Twin: A Dynamically Fault-Tolerant Fat-Tree
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Papers - Volume 01
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 14 - Volume 15
The Effect of Virtual Channel Organization on the Performance of Interconnection Networks
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 14 - Volume 15
Analytical Modelling of Hot-Spot Traffic in Deterministically-Routed K-Ary N-Cubes
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 15 - Volume 16
Comparative Modeling of Network Topologies and Routing Strategies in Multicomputers
International Journal of High Performance Computing Applications
Performance Evaluation of Deterministic Routings, Multicasts, and Topologies on RHiNET-2 Cluster
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Adaptive Multimodule Routers for Multiprocessor Architectures
Information Systems Frontiers
Layered Routing in Irregular Networks
IEEE Transactions on Parallel and Distributed Systems
Compiler-directed channel allocation for saving power in on-chip networks
Conference record of the 33rd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
SAGA: synthesis technique for guaranteed throughput NoC architectures
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Stochastic Analysis of Deterministic Routing Algorithms in the Presence of Self-Similar Traffic
The Journal of Supercomputing
A Methodology for Layout Aware Design and Optimization of Custom Network-on-Chip Architectures
ISQED '06 Proceedings of the 7th International Symposium on Quality Electronic Design
Implementation analysis of NoC: a MPSoC trace-driven approach
GLSVLSI '06 Proceedings of the 16th ACM Great Lakes symposium on VLSI
Deadlock-free routing and component placement for irregular mesh-based networks-on-chip
ICCAD '05 Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design
A low complexity heuristic for design of custom network-on-chip architectures
Proceedings of the conference on Design, automation and test in Europe: Proceedings
A survey of research and practices of Network-on-chip
ACM Computing Surveys (CSUR)
Routing performance enhancement in hierarchical torus network by link-selection algorithm
Journal of Parallel and Distributed Computing - Special issue: Design and performance of networks for super-, cluster-, and grid-computing: Part II
The BlackWidow High-Radix Clos Network
Proceedings of the 33rd annual international symposium on Computer Architecture
Area-Performance Trade-offs in Tiled Dataflow Architectures
Proceedings of the 33rd annual international symposium on Computer Architecture
Switch fabric design for high performance IP routers: a survey
Journal of Systems Architecture: the EUROMICRO Journal
Journal of Parallel and Distributed Computing
A performance model of compressionless routing in k-ary n-cube networks
Performance Evaluation
High-performance adaptive routing for networks with arbitrary topology
Journal of Systems Architecture: the EUROMICRO Journal
Linear-programming-based techniques for synthesis of network-on-chip architectures
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Hamming hypermeshes: high performance interconnection networks for pin-out limited systems
Performance Evaluation
Explanation of Performance Degradation in Turn Model
The Journal of Supercomputing
Broadcasting in all-output-port cube-connected cycles with distance-insensitive switching
Journal of Parallel and Distributed Computing - Special issue on parallel bioinspired algorithms
Increasing the throughput of an adaptive router in network-on-chip (NoC)
CODES+ISSS '06 Proceedings of the 4th international conference on Hardware/software codesign and system synthesis
A Simple Data Transfer Technique Using Local Address for Networks-on-Chips
IEEE Transactions on Parallel and Distributed Systems
Proceedings of the 2006 ACM/IEEE conference on Supercomputing
ViChaR: A Dynamic Virtual Channel Regulator for Network-on-Chip Routers
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture
A performance model for analysis of heterogeneous multi-cluster systems
Parallel Computing
Deadlock-free connection-based adaptive routing with dynamic virtual circuits
Journal of Parallel and Distributed Computing
ACM Transactions on Computer Systems (TOCS)
Deadlock-free scheduling of X10 computations with bounded resources
Proceedings of the nineteenth annual ACM symposium on Parallel algorithms and architectures
Profile-driven energy reduction in network-on-chips
Proceedings of the 2007 ACM SIGPLAN conference on Programming language design and implementation
Sequencing of Concurrent Communication Traffic in a Mesh Multicomputer with Virtual Channels
ICPP '94 Proceedings of the 1994 International Conference on Parallel Processing - Volume 01
Optimal Multicast Communication in Wormhole-Routed Torus Networks
ICPP '94 Proceedings of the 1994 International Conference on Parallel Processing - Volume 01
A Necessary and Sufficient Condition for Deadlock-Free Adaptive Routing in Wormhole Networks
ICPP '94 Proceedings of the 1994 International Conference on Parallel Processing - Volume 01
Fault-Tolerant Routing Algorithms for a Massively Parallel Machine
ICPP '94 Proceedings of the 1994 International Conference on Parallel Processing - Volume 01
On the Design of a Photonic Network-on-Chip
NOCS '07 Proceedings of the First International Symposium on Networks-on-Chip
Handling Topology Changes in InfiniBand
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Routing table minimization for irregular mesh NoCs
Proceedings of the conference on Design, automation and test in Europe
ACM Turing award lectures
An optimal scheduling algorithm for an agent-based multicast strategy on irregular networks
The Journal of Supercomputing
A new approach to model virtual channels in interconnection networks
Journal of Computer and System Sciences
Communication delay analysis of fault-tolerant pipelined circuit switching in torus
Journal of Computer and System Sciences
A tool for automatic detection of deadlock in wormhole networks on chip
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A multi-processor NoC platform applied on the 802.11i TKIP cryptosystem
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
International Journal of High Performance Computing and Networking
An accurate mathematical performance model of adaptive routing in the star graph
Future Generation Computer Systems
Age-based packet arbitration in large-radix k-ary n-cubes
Proceedings of the 2007 ACM/IEEE conference on Supercomputing
Pipelined circuit switching: Analysis for the torus with non-uniform traffic
Journal of Systems Architecture: the EUROMICRO Journal
Combinatorial performance modelling of toroidal cubes
Journal of Systems Architecture: the EUROMICRO Journal
Designing efficient irregular networks for heterogeneous systems-on-chip
Journal of Systems Architecture: the EUROMICRO Journal
Deadlock free routing algorithms for irregular mesh topology NoC systems with rectangular regions
Journal of Systems Architecture: the EUROMICRO Journal
Technology-Driven, Highly-Scalable Dragonfly Topology
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
Corona: System Implications of Emerging Nanophotonic Technology
ISCA '08 Proceedings of the 35th Annual International Symposium on Computer Architecture
A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip
Proceedings of the 45th annual Design Automation Conference
NOCS '08 Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip
A new general method to compute virtual channels occupancy probabilities in wormhole networks
Journal of Computer and System Sciences
A Simple and Efficient Fault-Tolerant Adaptive Routing Algorithm for Meshes
ICA3PP '08 Proceedings of the 8th international conference on Algorithms and Architectures for Parallel Processing
Deadlock-Free Adaptive Routing in 2D Tori with a New Turn Model
ICA3PP '08 Proceedings of the 8th international conference on Algorithms and Architectures for Parallel Processing
An Adaptive and Fault-Tolerant Routing Algorithm for Meshes
ICCSA '08 Proceeding sof the international conference on Computational Science and Its Applications, Part I
Deadlock-Free Dynamic Network Reconfiguration Based on Close Up*/Down* Graphs
Euro-Par '08 Proceedings of the 14th international Euro-Par conference on Parallel Processing
Evolutionary Design of Fault Tolerant Collective Communications
ICES '08 Proceedings of the 8th international conference on Evolvable Systems: From Biology to Hardware
Unicast-based fault-tolerant multicasting in wormhole-routed hypercubes
Journal of Systems Architecture: the EUROMICRO Journal
A new distributed management mechanism for ASI based networks
Computer Communications
Future Generation Computer Systems
Improving communication-phase completion times in HPC clusters through congestion mitigation
SYSTOR '09 Proceedings of SYSTOR 2009: The Israeli Experimental Systems Conference
High performance wide-area overlay using deadlock-free routing
Proceedings of the 18th ACM international symposium on High performance distributed computing
Application-aware deadlock-free oblivious routing
Proceedings of the 36th annual international symposium on Computer architecture
HiRA: A methodology for deadlock free routing in hierarchical networks on chip
NOCS '09 Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip
Static virtual channel allocation in oblivious routing
NOCS '09 Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip
Recursive partitioning multicast: A bandwidth-efficient routing for Networks-on-Chip
NOCS '09 Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip
A scalable micro wireless interconnect structure for CMPs
Proceedings of the 15th annual international conference on Mobile computing and networking
RecTOR: A New and Efficient Method for Dynamic Network Reconfiguration
Euro-Par '09 Proceedings of the 15th International Euro-Par Conference on Parallel Processing
A generic implementation model for the formal verification of networks-on-chips
Proceedings of the Eighth International Workshop on the ACL2 Theorem Prover and its Applications
Custom networks-on-chip architectures with multicast routing
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Region-based routing: a mechanism to support efficient routing algorithms in NoCs
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Breaking adaptive multicast deadlock by virtual channel address/data FIFO decoupling
Proceedings of the 2nd International Workshop on Network on Chip Architectures
Path-based, randomized, oblivious, minimal routing
Proceedings of the 2nd International Workshop on Network on Chip Architectures
A routing-table-based adaptive and minimal routing scheme on network-on-chip architectures
Computers and Electrical Engineering
From synchronous to GALS: A new architecture for FPGAs
Microelectronics Journal
A methodology for constraint-driven synthesis of on-chip communications
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Blue Gene/L torus interconnection network
IBM Journal of Research and Development
A performance analytical model for Network-on-Chip with constant service time routers
Proceedings of the 2009 International Conference on Computer-Aided Design
An analytical performance model for the Spidergon NoC with virtual channels
Journal of Systems Architecture: the EUROMICRO Journal
Adaptive routing for convergence enhanced ethernet
HPSR'09 Proceedings of the 15th international conference on High Performance Switching and Routing
A multi-path routing scheme for torus-based NOCs
International Journal of Computers and Applications
Design of a viable fault-tolerant routing strategy for optical-based grids
ISPA'03 Proceedings of the 2003 international conference on Parallel and distributed processing and applications
Fault-tolerant routing in mesh-connected 2D tori
ICCS'03 Proceedings of the 2003 international conference on Computational science: PartIII
A deadlock free shortest path routing algorithm for WK-recursive meshes
ICDCN'08 Proceedings of the 9th international conference on Distributed computing and networking
Design of a router for network-on-chip
International Journal of High Performance Systems Architecture
Run-time task allocation considering user behavior in embedded multiprocessor networks-on-chip
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
NOCS '10 Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip
Complement routing: A methodology to design reliable routing algorithm for Network on Chips
Microprocessors & Microsystems
Feedback control for providing QoS in NoC based multicores
Proceedings of the Conference on Design, Automation and Test in Europe
Formal specification of networks-on-chips: deadlock and evacuation
Proceedings of the Conference on Design, Automation and Test in Europe
Information Sciences: an International Journal
Routing to support communication in dependable networks
EUROMICRO-PDP'02 Proceedings of the 10th Euromicro conference on Parallel, distributed and network-based processing
Increasing the adaptivity of routing algorithms for k-ary n-cubes
EUROMICRO-PDP'02 Proceedings of the 10th Euromicro conference on Parallel, distributed and network-based processing
On the probability distribution of busy virtual channels
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
Software-based fault-tolerant routing algorithm in multi- dimensional networks
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
New heuristic algorithms for energy aware application mapping and routing on mesh-based NoCs
Journal of Systems Architecture: the EUROMICRO Journal
A framework for designing congestion-aware deterministic routing
Proceedings of the Third International Workshop on Network on Chip Architectures
Pseudo-Circuit: Accelerating Communication for On-Chip Interconnection Networks
MICRO '43 Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture
A deadlock-free routing algorithm for dynamically reconfigurable Networks-on-Chip
Microprocessors & Microsystems
"It's a small world after all": noc performance optimization via long-range link insertion
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Design of network-on-chip architectures with a genetic algorithm-based technique
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Efficient network management applied to source routed networks
Parallel Computing
A new adaptive fault-tolerant protocol for direct multiprocessors networks
ICCOM'06 Proceedings of the 10th WSEAS international conference on Communications
Prevention flow-control for low latency torus Networks-on-Chip
NOCS '11 Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip
An abacus turn model for time/space-efficient reconfigurable routing
Proceedings of the 38th annual international symposium on Computer architecture
DBAR: an efficient routing algorithm to support multiple concurrent applications in networks-on-chip
Proceedings of the 38th annual international symposium on Computer architecture
Worst-case end-to-end delays evaluation for SpaceWire networks
Discrete Event Dynamic Systems
Channel assignment in virtual cut-through switching based wireless mesh networks
ICDCN'10 Proceedings of the 11th international conference on Distributed computing and networking
Performance evaluation of a wormhole-routed algorithm for irregular mesh NoC interconnect
ICDCN'10 Proceedings of the 11th international conference on Distributed computing and networking
Process variation-aware routing in NoC based multicores
Proceedings of the 48th Design Automation Conference
Energy characteristic of a processor allocator and a network-on-chip
International Journal of Applied Mathematics and Computer Science - SPECIAL SECTION: Efficient Resource Management for Grid-Enabled Applications
Easy Formal Specification and Validation of Unbounded Networks-on-Chips Architectures
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Tree-turn routing: an efficient deadlock-free routing algorithm for irregular networks
The Journal of Supercomputing
A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Ethernet as a lossless deadlock free system area network
ISPA'05 Proceedings of the Third international conference on Parallel and Distributed Processing and Applications
SONA: an on-chip network for scalable interconnection of AMBA-Based IPs
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part IV
Path concepts for a reconfigurable bit-serial synchronous architecture
EUC'05 Proceedings of the 2005 international conference on Embedded and Ubiquitous Computing
A performance model of fault-tolerant routing algorithm in interconnect networks
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part I
Application-aware deadlock-free oblivious routing based on extended turn-model
Proceedings of the International Conference on Computer-Aided Design
INSEE: an interconnection network simulation and evaluation environment
Euro-Par'05 Proceedings of the 11th international Euro-Par conference on Parallel Processing
Network-on-Chip routing algorithms by breaking cycles
ICA3PP'10 Proceedings of the 10th international conference on Algorithms and Architectures for Parallel Processing - Volume Part I
A generic network on chip model
TPHOLs'05 Proceedings of the 18th international conference on Theorem Proving in Higher Order Logics
CONNECT: re-examining conventional wisdom for designing nocs in the context of FPGAs
Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays
Leveraging latency-insensitivity to ease multiple FPGA design
Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays
Simple deadlock-free dynamic network reconfiguration
HiPC'04 Proceedings of the 11th international conference on High Performance Computing
Making-a-stop: A new bufferless routing algorithm for on-chip network
Journal of Parallel and Distributed Computing
A generic packet router IP for multi-processors network-on-chip
Proceedings of the 8th FPGAWorld Conference
An optimal scheduling algorithm for an agent-based multicast strategy on irregular networks
GPC'06 Proceedings of the First international conference on Advances in Grid and Pervasive Computing
A formal proof of a necessary and sufficient condition for deadlock-free adaptive networks
ITP'10 Proceedings of the First international conference on Interactive Theorem Proving
Benefits of selective packet discard in networks-on-chip
ACM Transactions on Architecture and Code Optimization (TACO)
Networks on chips: structure and design methodologies
Journal of Electrical and Computer Engineering - Special issue on Networks-on-Chip: Architectures, Design Methodologies, and Case Studies
An Architecture for High Availability Multi-user Systems
Computer Communications
A new injection limitation mechanism for wormhole networks
Computer Communications
Supporting TCP connections in wormhole routing and ATM networks
Computer Communications
Exploiting communication and packaging locality for cost-effective large scale networks
Proceedings of the 26th ACM international conference on Supercomputing
Formal verification methodology considerations for network on chips
Proceedings of the International Conference on Advances in Computing, Communications and Informatics
A scalable and fault-tolerant network routing scheme for many-core and multi-chip systems
Journal of Parallel and Distributed Computing
Journal of Parallel and Distributed Computing
Power-efficient deterministic and adaptive routing in torus networks-on-chip
Microprocessors & Microsystems
Proceedings of the Fifth International Workshop on Network on Chip Architectures
CamCubeOS: a key-based network stack for 3D torus cluster topologies
Proceedings of the 22nd international symposium on High-performance parallel and distributed computing
A source-synchronous Htree-based network-on-chip
Proceedings of the 23rd ACM international conference on Great lakes symposium on VLSI
Exploring topologies for source-synchronous ring-based network-on-chip
Proceedings of the Conference on Design, Automation and Test in Europe
Randomized partially-minimal routing: near-optimal oblivious routing for 3-D mesh networks
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
High and stable performance under adverse traffic patterns of tori-connected torus network
Computers and Electrical Engineering
Space optimal solution for data reordering in streaming applications on NoC based MPSoC
Journal of Systems Architecture: the EUROMICRO Journal
ForEVeR: A complementary formal and runtime verification approach to correct NoC functionality
ACM Transactions on Embedded Computing Systems (TECS) - Special Issue on Design Challenges for Many-Core Processors, Special Section on ESTIMedia'13 and Regular Papers
Are virtual channels the bottleneck of priority-aware wormhole-switched NoC-based many-cores?
Proceedings of the 21st International conference on Real-Time Networks and Systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
uDIREC: unified diagnosis and reconfiguration for frugal bypass of NoC faults
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture
Analytical performance modeling of shuffle-exchange inspired mesh-based Network-on-Chips
Performance Evaluation
Deadlock-free routing mechanism for 3D twin torus networks
Proceedings of the 8th International Workshop on Interconnection Network Architecture: On-Chip, Multi-Chip
X-Network: An area-efficient and high-performance on-chip wormhole interconnect network
Microprocessors & Microsystems
Hi-index | 15.15 |
A deadlock-free routing algorithm can be generated for arbitrary interconnection networks using the concept of virtual channels. A necessary and sufficient condition for deadlock-free routing is the absence of cycles in a channel dependency graph. Given an arbitrary network and a routing function, the cycles of the channel dependency graph can be removed by splitting physical channels into groups of virtual channels. This method is used to develop deadlock-free routing algorithms for k-ary n-cubes, for cube-connected cycles, and for shuffle-exchange networks.