Deadlock-Free Message Routing in Multiprocessor Interconnection Networks
IEEE Transactions on Computers
Multicomputer networks: message-based parallel processing
Multicomputer networks: message-based parallel processing
Networks for parallel processors: measurements and prognostications
C3P Proceedings of the third conference on Hypercube concurrent computers and applications: Architecture, software, computer systems, and general issues - Volume 1
Performance Analysis of k-ary n-cube Interconnection Networks
IEEE Transactions on Computers
Adaptive, minimal routing in hypercubes
AUSCRYPT '90 Proceedings of the sixth MIT conference on Advanced research in VLSI
An Adaptive and Fault Tolerant Wormhole Routing Strategy for k-ary n-cubes
IEEE Transactions on Computers
Deadlock-free multicast wormhole routing in multicomputer networks
ISCA '91 Proceedings of the 18th annual international symposium on Computer architecture
The turn model for adaptive routing
ISCA '92 Proceedings of the 19th annual international symposium on Computer architecture
IEEE Transactions on Parallel and Distributed Systems
ComPaSS: efficient communication services for scalable architectures
Proceedings of the 1992 ACM/IEEE conference on Supercomputing
An experimental performance evaluation of Touchstone Delta Concurrent File System
ICS '93 Proceedings of the 7th international conference on Supercomputing
Efficient collective data distribution in all-port wormhole-routed hypercubes
Proceedings of the 1993 ACM/IEEE conference on Supercomputing
A dominating set model for broadcast in all-port wormhole-routed 2D mesh networks
ICS '94 Proceedings of the 8th international conference on Supercomputing
The interaction between virtual channel flow control and adaptive routing in wormhole networks
ICS '94 Proceedings of the 8th international conference on Supercomputing
An approach to communication-efficient data redistribution
ICS '94 Proceedings of the 8th international conference on Supercomputing
The turn model for adaptive routing
Journal of the ACM (JACM)
RH: A Versatile Family of Reduced Hypercube Interconnection Networks
IEEE Transactions on Parallel and Distributed Systems
Unicast-Based Multicast Communication in Wormhole-Routed Networks
IEEE Transactions on Parallel and Distributed Systems
Static and Run-Time Algorithms for All-to-Many Personalized Communication on Permutation Networks
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Computers
Formal specification and design of a message router
ACM Transactions on Software Engineering and Methodology (TOSEM)
Optimal Broadcast in All-Port Wormhole-Routed Hypercubes
IEEE Transactions on Parallel and Distributed Systems
An Interface to a Reliable Packet Delivery Service for Parallel Systems
IEEE Transactions on Parallel and Distributed Systems
A universal proof technique for deadlock-free routing in interconnection networks
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
ROMM routing on mesh and torus networks
Proceedings of the seventh annual ACM symposium on Parallel algorithms and architectures
Multicast virtual topologies for collective communication in MPCs and ATM clusters
Supercomputing '95 Proceedings of the 1995 ACM/IEEE conference on Supercomputing
An efficient, fully adaptive deadlock recovery scheme: DISHA
ISCA '95 Proceedings of the 22nd annual international symposium on Computer architecture
A near-optimal broadcasting algorithm in all-port wormhole-routed hypercubes
ICS '95 Proceedings of the 9th international conference on Supercomputing
On the hardness of approximating optimum schedule problems in store and forward networks
IEEE/ACM Transactions on Networking (TON)
A Trip-Based Multicasting Model in Wormhole-Routed Networks with Virtual Channels
IEEE Transactions on Parallel and Distributed Systems
A Framework for Designing Deadlock-Free Wormhole Routing Algorithms
IEEE Transactions on Parallel and Distributed Systems
Circuit-Switched Broadcasting in Torus and Mesh Networks
IEEE Transactions on Parallel and Distributed Systems
All-to-All Personalized Communication in a Wormhole-Routed Torus
IEEE Transactions on Parallel and Distributed Systems
Efficient Termination Detection for Loosely Synchronous Applications in Multicomputers
IEEE Transactions on Parallel and Distributed Systems
Adaptive Fault-Tolerant Deadlock-Free Routing in Meshes and Hypercubes
IEEE Transactions on Computers
A router architecture for real-time point-to-point networks
ISCA '96 Proceedings of the 23rd annual international symposium on Computer architecture
Optimal Layouts of Midimew Networks
IEEE Transactions on Parallel and Distributed Systems
Evaluating virtual channels for cache-coherent shared-memory multiprocessors
ICS '96 Proceedings of the 10th international conference on Supercomputing
Parallel simulation of a high-speed wormhole routing network
PADS '96 Proceedings of the tenth workshop on Parallel and distributed simulation
IEEE Transactions on Parallel and Distributed Systems
A Broadcast Algorithm for All-Port Wormhole-Routed Torus Networks
IEEE Transactions on Parallel and Distributed Systems
WICI: An Efficient Hybrid Routing Scheme for Scalable and Hierarchical Networks
IEEE Transactions on Computers
Multicasting protocols for high-speed, wormhole-routing local area networks
Conference proceedings on Applications, technologies, architectures, and protocols for computer communications
IEEE Transactions on Parallel and Distributed Systems
A Traffic-Balanced Adaptive Wormhole Routing Scheme for Two-Dimensional Meshes
IEEE Transactions on Computers
On-Line Task Migration in Hypercubes Through Double Disjoint Paths
IEEE Transactions on Computers - Special issue on mobile computing
A Shortest-Path Routing Algorithm for Incomplete WK-Recursive Networks
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Performance Evaluation of Switch-Based Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
Deadlock-free oblivious wormhole routing with cyclic dependencies
Proceedings of the ninth annual ACM symposium on Parallel algorithms and architectures
Noncontiguous Processor Allocation Algorithms for Mesh-Connected Multicomputers
IEEE Transactions on Parallel and Distributed Systems
Proceedings of the 24th annual international symposium on Computer architecture
Edge Congestion of Shortest Path Systems for All-to-All Communication
IEEE Transactions on Parallel and Distributed Systems
A Dilated-Diagonal-Based Scheme for Broadcast in a Wormhole-Routed 2D Torus
IEEE Transactions on Computers
Use of Routing Capability for Fault-Tolerant Routing in Hypercube Multicomputers
IEEE Transactions on Computers
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Scheduling I/O transfers in a 2-D mesh with packet deadlines
ACM-SE 36 Proceedings of the 36th annual Southeast regional conference
Adaptive Fault-Tolerant Routing in Cube-Based Multicomputers Using Safety Vectors
IEEE Transactions on Parallel and Distributed Systems
Broadcasting, multicasting and gossiping in trees under the all-port line model
Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures
Depth contention-free broadcasting on torus networks
ICS '98 Proceedings of the 12th international conference on Supercomputing
IEEE Transactions on Parallel and Distributed Systems
A General Theory for Deadlock Avoidance in Wormhole-Routed Networks
IEEE Transactions on Parallel and Distributed Systems
Pc-based Shared Memory Architecture and Language
The Journal of Supercomputing
Optimized Broadcasting and Multicasting Protocols in Cut-Through Routed Networks
IEEE Transactions on Parallel and Distributed Systems
A Router Architecture for Real-Time Communication in Multicomputer Networks
IEEE Transactions on Computers
A Tight Lower Bound on the Number of Channels Required for Deadlock-Free Wormhole Routing
IEEE Transactions on Computers
Efficient Broadcast and Multicast on Multistage Interconnection Networks Using Multiport Encoding
IEEE Transactions on Parallel and Distributed Systems
Wormhole routing techniques for directly connected multicomputer systems
ACM Computing Surveys (CSUR)
GMSim: a tool for compositional GSMP modeling
Proceedings of the 30th conference on Winter simulation
Efficient Broadcasting in Wormhole-Routed Multicomputers: A Network-Partitioning Approach
IEEE Transactions on Parallel and Distributed Systems
Multidestination Message Passing in Wormhole k-ary n-cube Networks with Base Routing Conformed Paths
IEEE Transactions on Parallel and Distributed Systems
The impact of spatial layout of jobs on parallel I/O performance
Proceedings of the sixth workshop on I/O in parallel and distributed systems
Multiple Multicast with Minimized Node Contention on Wormhole k-ary n-cube Networks
IEEE Transactions on Parallel and Distributed Systems
Improving the performance of bristled CC-NUMA systems using virtual channels and adaptivity
ICS '99 Proceedings of the 13th international conference on Supercomputing
Introduction to routing in multicomputer networks
ACM SIGARCH Computer Architecture News
Periodically Regular Chordal Rings
IEEE Transactions on Parallel and Distributed Systems
Routing in Wormhole-Switched Clustered Networks with Applications to Fault Tolerance
IEEE Transactions on Parallel and Distributed Systems
A Testbed for Evaluation of Fault-Tolerant Routing in Multiprocessor Interconnection Networks
IEEE Transactions on Parallel and Distributed Systems
Toward Optimal Complete Exchange on Wormhole-Routed Tori
IEEE Transactions on Computers
Flexible and Efficient Routing Based on Progressive Deadlock Recovery
IEEE Transactions on Computers
IEEE Transactions on Computers
Adaptive-Trail Routing and Performance Evaluation in Irregular Networks Using Cut-Through Switches
IEEE Transactions on Parallel and Distributed Systems
Asynchronous Tree-Based Multicasting in Wormhole-Switched MINs
IEEE Transactions on Parallel and Distributed Systems
Efficient Heuristics for All-Port Multicast in Wormhole-Routed Hypercubes
IEEE Transactions on Parallel and Distributed Systems
Hybrid static-dynamic communication scheduling for parallel systems
SAC '97 Proceedings of the 1997 ACM symposium on Applied computing
Low Communication Overhead Jacobi Algorithms for Eigenvalues Computation on Hypercubes
The Journal of Supercomputing
Algebraic Foundations and Broadcasting Algorithms for Wormhole-Routed All-Port Tori
IEEE Transactions on Computers
Lower Bounds on Communication Loads and Optimal Placements in Torus Networks
IEEE Transactions on Computers
Near-Optimal Broadcast in All-Port Wormhole-Routed Hypercubes Using Error-Correcting Codes
IEEE Transactions on Parallel and Distributed Systems
Effects of communication characteristics on task mapping quality on a 2-D mesh with wormhole routing
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 2
Scatter and gather operations on an asynchronous communication model
SAC '00 Proceedings of the 2000 ACM symposium on Applied computing - Volume 2
Processor Scheduling and Allocation for 3D Torus Multicomputer Systems
IEEE Transactions on Parallel and Distributed Systems
Wormhole Broadcast in Hypercubes
The Journal of Supercomputing
Turn Grouping for Multicast in Wormhole-Routed Mesh Networks Supporting the Turn Model
The Journal of Supercomputing
Configurable Algorithms for Complete Exchange in 2D Meshes
IEEE Transactions on Parallel and Distributed Systems
High-Performance Routing in Networks of Workstations with Irregular Topology
IEEE Transactions on Parallel and Distributed Systems
The Odd-Even Turn Model for Adaptive Routing
IEEE Transactions on Parallel and Distributed Systems
Communication Reduction in Multiple Multicasts Based on Hybrid Static-Dynamic Scheduling
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Incremental Design of Scalable Interconnection Networks Using Basic Building Blocks
IEEE Transactions on Parallel and Distributed Systems
Performance Metrics for Embedded Parallel Pipelines
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Computers
All-to-All Personalized Communication in Multidimensional Torus and Mesh Networks
IEEE Transactions on Parallel and Distributed Systems
Routing in the bidirectional shufflenet
IEEE/ACM Transactions on Networking (TON)
An Analytical Model of Adaptive Wormhole Routing in Hypercubes in the Presence of Hot Spot Traffic
IEEE Transactions on Parallel and Distributed Systems
Optimal Processor Mapping for Linear-Complement Communication on Hypercubes
IEEE Transactions on Parallel and Distributed Systems
Efficient Multicast on Irregular Switch-Based Cut-Through Networks with Up-Down Routing
IEEE Transactions on Parallel and Distributed Systems
A New Adaptive Hardware Tree-Based Multicast Routing in K-Ary N-Cubes
IEEE Transactions on Computers
Fault-Tolerant Routing in Hypercube Multicomputers Using Local Safety Information
IEEE Transactions on Parallel and Distributed Systems
Four-Ary Tree-Based Barrier Synchronization for 2D Meshes without Nonmember Involvement
IEEE Transactions on Computers - Special issue on the parallel architecture and compilation techniques conference
Deadlock-Free Oblivious Wormhole Routing with Cyclic Dependencies
IEEE Transactions on Computers
Recursive Diagonal Torus: An Interconnection Network for Massively Parallel Computers
IEEE Transactions on Parallel and Distributed Systems
Towards a scalable broadcast in wormhole-switched mesh networks
Proceedings of the 2002 ACM symposium on Applied computing
Memory optimization in single chip network switch fabrics
Proceedings of the 39th annual Design Automation Conference
A lightweight idempotent messaging protocol for faulty networks
Proceedings of the fourteenth annual ACM symposium on Parallel algorithms and architectures
Static and dynamic low-congested interval routing schemes
Theoretical Computer Science
The Journal of Supercomputing
Performance tuning of adaptive wormhole routing through selection function choice
Journal of Parallel and Distributed Computing
The ip–p Rearrangement and Failure-Tolerance of Double p-ary Multirings and Generalized Hypercubes
Automation and Remote Control
Building a high-performance collective communication library
Proceedings of the 1994 ACM/IEEE conference on Supercomputing
Scheduling of unstructured communication on the Intel iPSC/860
Proceedings of the 1994 ACM/IEEE conference on Supercomputing
Optimal software multicast in wormhole-routed multistage networks
Proceedings of the 1994 ACM/IEEE conference on Supercomputing
Dual-tree-based multicasting on wormhole-routed irregular switch-based networks
Journal of Systems Architecture: the EUROMICRO Journal
Unsafety vectors: a new fault-tolerant routing for the binary n-cube
Journal of Systems Architecture: the EUROMICRO Journal
Adaptive Routing for Dynamic Applications in Massively Parallel Architectures
IEEE Parallel & Distributed Technology: Systems & Technology
Designing and Implementing High-Performance Media-on-Demand Servers
IEEE Parallel & Distributed Technology: Systems & Technology
Problems with Comparing Interconnection Networks: Is an Alligator Better Than an Armadillo?
IEEE Parallel & Distributed Technology: Systems & Technology
Lee Distance and Topological Properties of k-ary n-cubes
IEEE Transactions on Computers
Contention-Free 2D-Mesh Cluster Allocation in Hypercubes
IEEE Transactions on Computers
Subcube Fault Tolerance in Hypercube Multiprocessors
IEEE Transactions on Computers
A Limited-Global-Information-Based Multicasting Scheme for Faulty Hypercubes
IEEE Transactions on Computers
Valved Routing: Efficient Flow Control for Adaptive Nonminimal Routing in Interconnection Networks
IEEE Transactions on Computers
The Performance of Crossbar-Based Binary Hypercubes
IEEE Transactions on Computers
Hypercube Multiprocessors with Bus Connections for Improving Communication Performance
IEEE Transactions on Computers
A Fault-Tolerant Routing Strategy in Hypercube Multicomputers
IEEE Transactions on Computers
Techniques for Increasing the Stream Capacity of A High-Performance Multimedia Server
IEEE Transactions on Knowledge and Data Engineering
Multicast Communication in Multicomputer Networks
IEEE Transactions on Parallel and Distributed Systems
Deadlock-Free Multicast Wormhole Routing in 2-D Mesh Multicomputers
IEEE Transactions on Parallel and Distributed Systems
The Message Flow Model for Routing in Wormhole-Routed Networks
IEEE Transactions on Parallel and Distributed Systems
A Theory of Deadlock-Free Adaptive Multicast Routing in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
Optimal Multicast Communication in Wormhole-Routed Torus Networks
IEEE Transactions on Parallel and Distributed Systems
A Necessary and Sufficient Condition for Deadlock-Free Adaptive Routing in Wormhole Networks
IEEE Transactions on Parallel and Distributed Systems
An Adaptive Fault-Tolerant Routing Algorithm for Hypercube Multicomputers
IEEE Transactions on Parallel and Distributed Systems
Optimal Software Multicast in Wormhole-Routed Multistage Networks
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
All-To-All Communication with Minimum Start-Up Costs in 2D/3D Tori and Meshes
IEEE Transactions on Parallel and Distributed Systems
Alleviating Consumption Channel Bottleneck in Wormhole-Routed k-ary n-Cube Systems
IEEE Transactions on Parallel and Distributed Systems
IEEE Transactions on Parallel and Distributed Systems
Balancing Buffer Utilization in Meshes Using a 'Restricted Area' Concept
IEEE Transactions on Parallel and Distributed Systems
Journal of Parallel and Distributed Computing
A foundation for designing deadlock-free routing algorithms in wormhole networks
Journal of the ACM (JACM)
Experience with Applying Formal Methods to Protocol Specification and System Architecture
Formal Methods in System Design
Can Scatter Communication Take Advantage of Multidestination Message Passing?
HiPC '00 Proceedings of the 7th International Conference on High Performance Computing
A Fast Tree-Based Barrier Synchroization on Switch-Based Irregular Networks
HiPC '00 Proceedings of the 7th International Conference on High Performance Computing
How Much Does Network Contention Affect Distributed Shared Memory Performance?
ICPP '97 Proceedings of the international Conference on Parallel Processing
An Euler Path Based Technique for Deadlock-free Multicasting
ICPP '97 Proceedings of the international Conference on Parallel Processing
Efficient Multicast Algorithms in All-Port Wormhole-Routed Hypercubes
ICPP '97 Proceedings of the international Conference on Parallel Processing
Design of Scalable and Multicast Capable Cut-Through Switches for High-Speed LANs
ICPP '97 Proceedings of the international Conference on Parallel Processing
Sufficient Conditions for Optimal Multicast Communication
ICPP '97 Proceedings of the international Conference on Parallel Processing
Throttle and Preempt: A New Flow Control for Real-Time Communications in Wormhole Networks
ICPP '97 Proceedings of the international Conference on Parallel Processing
Software-Based Deadlock Recovery Technique for True Fully Adaptive Routing in Wormhole Networks
ICPP '97 Proceedings of the international Conference on Parallel Processing
Adaptive Fault-Tolerant Wormhole Routing Algorithms for Hypercube and Mesh Interconnection
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
A Hybrid Interconnection Network for Integrated Communication Services
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
An Accurate Model for the Performance Analysis of Deterministic Wormhole Routing
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Maximum Delivery Time and Hot Spots in ServerNet(tm) Topologies
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Broadcasting and Multicasting in Cut-through Routed Networks
IPPS '97 Proceedings of the 11th International Symposium on Parallel Processing
Performance Evaluation of a New Hardware Supported Multicast Scheme for K-ary N-cubes
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
A Simple Incremental Network Topology for Wormhole Switch-Based Networks
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
ISHPC '00 Proceedings of the Third International Symposium on High Performance Computing
Multicasting on Switch-Based Irregular Networks Using Multi-drop Path-Based Multidestination Worms
PCRCW '97 Proceedings of the Second International Workshop on Parallel Computer Routing and Communication
Bidirectional versus Unidirectional Networks: Cost/Performance Trade-Offs
MASCOTS '95 Proceedings of the 3rd International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems
Thread Placement on the Intel Paragon: Modeling and Experimentation
MASCOTS '95 Proceedings of the 3rd International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems
A New Reliability Model for Interconnection Networks
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
Dynamic Processor Allocation in Large Mesh-Connected Multicomputers
Euro-Par '01 Proceedings of the 7th International Euro-Par Conference Manchester on Parallel Processing
Evaluation of LH*LH for a Multicomputer Architecture
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
Performance Analysis of Wormhole Switching with Adaptive Routing in a Two-Dimensional Torus
Euro-Par '99 Proceedings of the 5th International Euro-Par Conference on Parallel Processing
Deadlock Avoidance for Wormhole Based Switches
Euro-Par '00 Proceedings from the 6th International Euro-Par Conference on Parallel Processing
Fault-Tolerant Broadcasting in Wormhole-Routed Torus Networks
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
Adaptive Path-Based Multicast on Wormhole-Routed Hypercubes
Euro-Par '02 Proceedings of the 8th International Euro-Par Conference on Parallel Processing
Fault-Tolerant Broadcasting in 2-D Wormhole-Routed Meshes
The Journal of Supercomputing
Efficient multicast in wormhole-routed 2D Mesh/Torus Multicomputers: a network-partitioning approach
FRONTIERS '96 Proceedings of the 6th Symposium on the Frontiers of Massively Parallel Computation
Analysis of deadlock-free path-based wormhole multicasting in meshes in case of contentions
FRONTIERS '96 Proceedings of the 6th Symposium on the Frontiers of Massively Parallel Computation
Fast barrier synchronization in wormhole k-ary n-cube networks with multidestination worms
HPCA '95 Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
Origin-based fault-tolerant routing in the mesh
HPCA '95 Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture
Fault-Tolerant Multicast Routing in the Mesh with No Virtual Channels
HPCA '96 Proceedings of the 2nd IEEE Symposium on High-Performance Computer Architecture
Performance Analysis of STC104 Interconnection Networks
HPC-ASIA '97 Proceedings of the High-Performance Computing on the Information Superhighway, HPC-Asia '97
Performance Analysis of Multistage Interconnection Networks using a Multicast Algorithm
HPC-ASIA '97 Proceedings of the High-Performance Computing on the Information Superhighway, HPC-Asia '97
An efficient submesh allocation scheme for 3D torus multicomputer systems
PAS '97 Proceedings of the 2nd AIZU International Symposium on Parallel Algorithms / Architecture Synthesis
Performance of Congestion Control Mechanisms in Wormhole Routing Networks
INFOCOM '97 Proceedings of the INFOCOM '97. Sixteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Driving the Information Revolution
Fault-tolerant wormhole routing for hypercube networks
Information Processing Letters
Dynamic load balancing for switch-based networks
Journal of Parallel and Distributed Computing
ZOMA: A Preemptive Deadlock Recovery Mechanism for Fully Adaptive Routing in Wormhole Networks
ICCNMC '01 Proceedings of the 2001 International Conference on Computer Networks and Mobile Computing (ICCNMC'01)
Multi-Node Multicast in Three and Higher Dimensional Wormhole Tori and Meshes with Load Balance
ICPP '00 Proceedings of the Proceedings of the 2000 International Conference on Parallel Processing
Verilog modeling and simulation of a communication coprocessor for multicomputers
IVC '95 Proceedings of the 4th IEEE International Verilog HDL Conference
A queueing model for wormhole routing with timeout
ICCCN '95 Proceedings of the 4th International Conference on Computer Communications and Networks
Bidirectional shufflenet: a multihop topology for backpressure flow control
ICCCN '95 Proceedings of the 4th International Conference on Computer Communications and Networks
Lower Bounds on Communication Loads and Optimal Placements in Torus Networks
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Jacobi Orderings for Multi-Port Hypercubes
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Nearly Optimal Algorithms for Broadcast on d-Dimensional All-Port and Wormhole-Routed Torus
IPPS '98 Proceedings of the 12th. International Parallel Processing Symposium on International Parallel Processing Symposium
Using graph theory to reduce communication overhead in parallel systems
Journal of Computing Sciences in Colleges
A fault-tolerant wormhole routing scheme for torus networks with nonconvex faults
Information Processing Letters
Efficient Collective Communications in Dual-Cube
The Journal of Supercomputing
Proceedings of the conference on Design, automation and test in Europe - Volume 1
Pseudo-cycle-based multicast routing in wormhole-routed networks
Journal of Computer Science and Technology
MaRS: a macro-pipelined reconfigurable system
Proceedings of the 1st conference on Computing frontiers
Crossed Rings—Small-diameter Multiring Switches and Their 1-1-Rearrangeability
Automation and Remote Control
QNoC: QoS architecture and design process for network on chip
Journal of Systems Architecture: the EUROMICRO Journal - Special issue: Networks on chip
DyAD: smart routing for networks-on-chip
Proceedings of the 41st annual Design Automation Conference
Task migration in n-dimensional wormhole-routed mesh multicomputers
Journal of Systems Architecture: the EUROMICRO Journal
The Journal of Supercomputing
Multipath-Based Multicasting Strategies for Wormhole-Routed Star Graph Interconnection Networks
The Journal of Supercomputing
A Multistage Load Distribution Strategy for Three-Dimensional Meshes
Cluster Computing
Towards scalable collective communication for multicomputer interconnection networks
Information Sciences: an International Journal - Special issue: Information technology
Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
On-Chip Stochastic Communication
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
On the performance of multicomputer interconnection networks
Journal of Systems Architecture: the EUROMICRO Journal
The Effect of Virtual Channel Organization on the Performance of Interconnection Networks
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 14 - Volume 15
Analytical Modelling of Hot-Spot Traffic in Deterministically-Routed K-Ary N-Cubes
IPDPS '05 Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 15 - Volume 16
Cost considerations in network on chip
Integration, the VLSI Journal - Special issue: Networks on chip and reconfigurable fabrics
HERMES: an infrastructure for low area overhead packet-switching networks on chip
Integration, the VLSI Journal - Special issue: Networks on chip and reconfigurable fabrics
Multicast communication in wormhole-routed symmetric networks with hamiltonian cycle model
Journal of Systems Architecture: the EUROMICRO Journal
IEEE Transactions on Parallel and Distributed Systems
A Recursion-Based Broadcast Paradigm in Wormhole Routed Networks
IEEE Transactions on Parallel and Distributed Systems
Compiler-directed channel allocation for saving power in on-chip networks
Conference record of the 33rd ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Application-specific buffer space allocation for networks-on-chip router design
Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
Improving routing efficiency for network-on-chip through contention-aware input selection
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Efficient broadcast in heterogeneous networks of workstations using two sub-networks
International Journal of Parallel Programming
Feasibility analysis of messages for on-chip networks using wormhole routing
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Optimal processor allocation to differentiated job flows
Performance Evaluation
A flit level simulator for wormhole routing
ACM-SE 38 Proceedings of the 38th annual on Southeast regional conference
Routing performance enhancement in hierarchical torus network by link-selection algorithm
Journal of Parallel and Distributed Computing - Special issue: Design and performance of networks for super-, cluster-, and grid-computing: Part II
Reducing NoC energy consumption through compiler-directed channel voltage scaling
Proceedings of the 2006 ACM SIGPLAN conference on Programming language design and implementation
A plane-based broadcast algorithm for multicomputer networks
Journal of Systems Architecture: the EUROMICRO Journal
Computation and communication refinement for multiprocessor SoC design: A system-level perspective
Proceedings of the 41st annual Design Automation Conference
Fault-tolerant routing in hypercubes using partial path set-up
Future Generation Computer Systems - Systems performance analysis and evaluation
Ant colony based routing architecture for minimizing hot spots in NOCs
SBCCI '06 Proceedings of the 19th annual symposium on Integrated circuits and systems design
Formal development of NoC systems in B
Nordic Journal of Computing - Selected papers of the 17th nordic workshop on programming theory (NWPT'05), October 19-21, 2005
Broadcasting in all-output-port cube-connected cycles with distance-insensitive switching
Journal of Parallel and Distributed Computing - Special issue on parallel bioinspired algorithms
Bounded arbitration algorithm for QoS-supported on-chip communication
CODES+ISSS '06 Proceedings of the 4th international conference on Hardware/software codesign and system synthesis
An addressing independent networking structure favorable for all-optical packet switching
ACM SIGCOMM Computer Communication Review
Distributed dynamic processor allocation for multicomputers
Parallel Computing
A deadlock detection mechanism for true fully adaptive routing in regular wormhole networks
Computer Communications
Deadlock-free scheduling of X10 computations with bounded resources
Proceedings of the nineteenth annual ACM symposium on Parallel algorithms and architectures
Optimal Multicast Communication in Wormhole-Routed Torus Networks
ICPP '94 Proceedings of the 1994 International Conference on Parallel Processing - Volume 01
Implications of Rent's Rule for NoC Design and Its Fault-Tolerance
NOCS '07 Proceedings of the First International Symposium on Networks-on-Chip
Throughput Region of Finite-Buffered Networks
IEEE Transactions on Parallel and Distributed Systems
Path-based multicasting in multicomputers
PDCN'07 Proceedings of the 25th conference on Proceedings of the 25th IASTED International Multi-Conference: parallel and distributed computing and networks
An optimal scheduling algorithm for an agent-based multicast strategy on irregular networks
The Journal of Supercomputing
A new approach to model virtual channels in interconnection networks
Journal of Computer and System Sciences
A tool for automatic detection of deadlock in wormhole networks on chip
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Run-time adaptive on-chip communication scheme
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
Dynamic channel selection: an efficient strategy for balancing traffic in meshes
International Journal of Computational Science and Engineering
Performance of deterministic and adaptive broadcast algorithms in multicomputer networks
International Journal of High Performance Computing and Networking
International Journal of High Performance Computing and Networking
International Journal of High Performance Computing and Networking
Minimal broadcasting schemas for the mesh structures
International Journal of High Performance Computing and Networking
Combinatorial performance modelling of toroidal cubes
Journal of Systems Architecture: the EUROMICRO Journal
Performance modelling of pipelined circuit switching in hypercubes with hot spot traffic
Microprocessors & Microsystems
Designing efficient irregular networks for heterogeneous systems-on-chip
Journal of Systems Architecture: the EUROMICRO Journal
Deadlock free routing algorithms for irregular mesh topology NoC systems with rectangular regions
Journal of Systems Architecture: the EUROMICRO Journal
QoS-supported on-chip communication for multi-processors
International Journal of Parallel Programming - Special Issue on Multiprocessor-based embedded systems
A reconfigurable routing algorithm for a fault-tolerant 2D-Mesh Network-on-Chip
Proceedings of the 45th annual Design Automation Conference
Application mapping for chip multiprocessors
Proceedings of the 45th annual Design Automation Conference
Real-Time Communication Analysis for On-Chip Networks with Wormhole Switching
NOCS '08 Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip
A new general method to compute virtual channels occupancy probabilities in wormhole networks
Journal of Computer and System Sciences
Dcell: a scalable and fault-tolerant network structure for data centers
Proceedings of the ACM SIGCOMM 2008 conference on Data communication
BARP-a dynamic routing protocol for balanced distribution of traffic in NoCs
Proceedings of the conference on Design, automation and test in Europe
TTPM - An efficient deadlock-free algorithm for multicast communication in 2D torus networks
Journal of Systems Architecture: the EUROMICRO Journal
Performance Evaluation of Fully Adaptive Routing for the Torus Interconnect Networks
ICCS '07 Proceedings of the 7th international conference on Computational Science, Part IV: ICCS 2007
The PERPLEXUS bio-inspired hardware platform: A flexible and modular approach
International Journal of Knowledge-based and Intelligent Engineering Systems - Adaptive Hardwarel / Evolvable Hardware
Multicast communication in wormhole-routed 2D torus networks with hamiltonian cycle model
Journal of Systems Architecture: the EUROMICRO Journal
CuNoC: A dynamic scalable communication structure for dynamically reconfigurable FPGAs
Microprocessors & Microsystems
Topology aware task mapping techniques: an api and case study
Proceedings of the 14th ACM SIGPLAN symposium on Principles and practice of parallel programming
Future Generation Computer Systems
Evolution in architectures and programming methodologies of coarse-grained reconfigurable computing
Microprocessors & Microsystems
A comprehensive power-performance model for NoCs with multi-flit channel buffers
Proceedings of the 23rd international conference on Supercomputing
An efficient placement and routing technique for fault-tolerant distributed embedded computing
ACM Transactions on Embedded Computing Systems (TECS)
Application-aware deadlock-free oblivious routing
Proceedings of the 36th annual international symposium on Computer architecture
Evolutionary optimization of multistage interconnection networks performance
Proceedings of the 11th Annual conference on Genetic and evolutionary computation
Flow-aware allocation for on-chip networks
NOCS '09 Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip
A Case Study of Communication Optimizations on 3D Mesh Interconnects
Euro-Par '09 Proceedings of the 15th International Euro-Par Conference on Parallel Processing
A routing-table-based adaptive and minimal routing scheme on network-on-chip architectures
Computers and Electrical Engineering
Balancing traffic in meshes by dynamic channel selection
ISPA'03 Proceedings of the 2003 international conference on Parallel and distributed processing and applications
EDXY - A low cost congestion-aware routing algorithm for network-on-chips
Journal of Systems Architecture: the EUROMICRO Journal
NOCS '10 Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Quarter Load Threshold (QLT) flow control for wormhole switching in mesh-based Network-on-Chip
Journal of Systems Architecture: the EUROMICRO Journal
A method to remove deadlocks in networks-on-chips with wormhole flow control
Proceedings of the Conference on Design, Automation and Test in Europe
An efficent dynamic multicast routing protocol for distributing traffic in NOCs
Proceedings of the Conference on Design, Automation and Test in Europe
Power-performance analysis of networks-on-chip with arbitrary buffer allocation schemes
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems - Special section on the ACM IEEE international conference on formal methods and models for codesign (MEMOCODE) 2009
On the probability distribution of busy virtual channels
IPDPS'06 Proceedings of the 20th international conference on Parallel and distributed processing
A TDM slot allocation flow based on multipath routing in NoCs
Microprocessors & Microsystems
Proceedings of the 2011 International Conference on Communication, Computing & Security
Static timing analysis for modeling QoS in networks-on-chip
Journal of Parallel and Distributed Computing
Efficient routing algorithms on optoelectronic networks
TELE-INFO'06 Proceedings of the 5th WSEAS international conference on Telecommunications and informatics
A new adaptive fault-tolerant protocol for direct multiprocessors networks
ICCOM'06 Proceedings of the 10th WSEAS international conference on Communications
NOCS '11 Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip
Exploring partitioning methods for 3D Networks-on-Chip utilizing adaptive routing model
NOCS '11 Proceedings of the Fifth ACM/IEEE International Symposium on Networks-on-Chip
Abstraction-based performance verification of NoCs
Proceedings of the 48th Design Automation Conference
Easy Formal Specification and Validation of Unbounded Networks-on-Chips Architectures
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Tree-turn routing: an efficient deadlock-free routing algorithm for irregular networks
The Journal of Supercomputing
A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Modeling real-time wormhole networks by queuing theory
ISPA'05 Proceedings of the Third international conference on Parallel and Distributed Processing and Applications
Local connectivity tests to identify wormholes in wireless networks
MobiHoc '11 Proceedings of the Twelfth ACM International Symposium on Mobile Ad Hoc Networking and Computing
A reconfigurable computing platform for real time embedded applications
Microprocessors & Microsystems
A performance model of fault-tolerant routing algorithm in interconnect networks
ICCS'06 Proceedings of the 6th international conference on Computational Science - Volume Part I
Choice of inner switching mechanisms in terabit router
ICN'05 Proceedings of the 4th international conference on Networking - Volume Part I
Making-a-stop: A new bufferless routing algorithm for on-chip network
Journal of Parallel and Distributed Computing
Hunting deadlocks efficiently in microarchitectural models of communication fabrics
Proceedings of the International Conference on Formal Methods in Computer-Aided Design
Task migration in all-port wormhole-routed 2D mesh multicomputers
Information Sciences: an International Journal
A simple and efficient input selection function for networks-on-chip
ICDCN'12 Proceedings of the 13th international conference on Distributed Computing and Networking
A guided tour of data-center networking
Communications of the ACM
A Guided Tour through Data-center Networking
Queue - Networks
Research: Configuring group-multicast rings with randomly selected nodes on binary n-cubes
Computer Communications
A new injection limitation mechanism for wormhole networks
Computer Communications
Supporting TCP connections in wormhole routing and ATM networks
Computer Communications
Static routing for applications mapped on NoC platform using ant colony algorithms
International Journal of High Performance Systems Architecture
Formal verification methodology considerations for network on chips
Proceedings of the International Conference on Advances in Computing, Communications and Informatics
ACO-Based static routing for network-on-chips
ICCSA'12 Proceedings of the 12th international conference on Computational Science and Its Applications - Volume Part I
Static packet routing in noc platform using ACO-Based algorithms
IDEAL'12 Proceedings of the 13th international conference on Intelligent Data Engineering and Automated Learning
An efficient routing methodology to tolerate static and dynamic faults in 2-D mesh networks-on-chip
Microprocessors & Microsystems
The Journal of Supercomputing
A new load balanced routing algorithm for torus networks
ESCAPE'07 Proceedings of the First international conference on Combinatorics, Algorithms, Probabilistic and Experimental Methodologies
Junction based routing: a scalable technique to support source routing in large NoC platforms
Proceedings of the Fifth International Workshop on Network on Chip Architectures
Heracles: a tool for fast RTL-based design space exploration of multicore processors
Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays
Routing for applications in NoC using ACO-based algorithms
Applied Soft Computing
NoC simulation in heterogeneous architectures for PGAS programming model
Proceedings of the 16th International Workshop on Software and Compilers for Embedded Systems
Distributed full switch as an ideal system area network for multiprocessor computers
Automation and Remote Control
Hybrid interconnect design for heterogeneous hardware accelerators
Proceedings of the Conference on Design, Automation and Test in Europe
Virtual networks -- distributed communication resource management
ACM Transactions on Reconfigurable Technology and Systems (TRETS) - Special Section on 19th Reconfigurable Architectures Workshop (RAW 2012)
High and stable performance under adverse traffic patterns of tori-connected torus network
Computers and Electrical Engineering
Three-dimensional Petersen-torus network: a fixed-degree network for massively parallel computers
The Journal of Supercomputing
Expert Systems with Applications: An International Journal
Fuzzy-based Adaptive Routing Algorithm for Networks-on-Chip
Journal of Systems Architecture: the EUROMICRO Journal
Journal of Electronic Testing: Theory and Applications
Are virtual channels the bottleneck of priority-aware wormhole-switched NoC-based many-cores?
Proceedings of the 21st International conference on Real-Time Networks and Systems
Journal of Systems Architecture: the EUROMICRO Journal
Microprocessors & Microsystems
Hi-index | 4.20 |
Several research contributions and commercial ventures related to wormhole routing, a switching technique used in direct networks, are discussed. The properties of direct networks are reviewed, and the operation and characteristics of wormhole routing are discussed in detail. By its nature, wormhole routing is particularly susceptible to deadlock situations, in which two or more packets may block one another indefinitely. Several approaches to deadlock-free. routing, along with a technique that allows multiple virtual channels to share the same physical channel, are described. In addition, several open issues related to wormhole routing are discussed.