Complete Test Sets for Logic Functions
IEEE Transactions on Computers
Universal Test Sets for Logic Networks
IEEE Transactions on Computers
Functional Test Generation for Digital Circuits Described Using Binary Decision Diagrams
IEEE Transactions on Computers - The MIT Press scientific computation series
Graph-Based Algorithms for Boolean Function Manipulation
IEEE Transactions on Computers
IEEE Transactions on Computers
A General Model for Memory-Based Finite-State Machines
IEEE Transactions on Computers
Finding the optimal variable ordering for binary decision diagrams
DAC '87 Proceedings of the 24th ACM/IEEE Design Automation Conference
Verity—a formal verification program for custom CMOS circuits
IBM Journal of Research and Development - Special issue: IBM CMOS technology
The program decision logic approach to predicated execution
ISCA '99 Proceedings of the 26th annual international symposium on Computer architecture
An Evolutionary Method Using Crossover in a Food Chain Simulation
ECAL '99 Proceedings of the 5th European Conference on Advances in Artificial Life
A Self-Repairing and Self-Healing Electronic Watch: The BioWatch
ICES '01 Proceedings of the 4th International Conference on Evolvable Systems: From Biology to Hardware
AI '99 Proceedings of the 12th Australian Joint Conference on Artificial Intelligence: Advanced Topics in Artificial Intelligence
Ordered Binary Decision Diagrams as Knowledge-Bases
ISAAC '99 Proceedings of the 10th International Symposium on Algorithms and Computation
Reasoning with Ordered Binary Decision Diagrams
ISAAC '00 Proceedings of the 11th International Conference on Algorithms and Computation
New Bounds on the OBDD-Size of Integer Multiplication via Universal Hashing
STACS '01 Proceedings of the 18th Annual Symposium on Theoretical Aspects of Computer Science
Optimal Algorithms of Event-Driven Re-evaluation of Boolean Functions
PSI '02 Revised Papers from the 4th International Andrei Ershov Memorial Conference on Perspectives of System Informatics: Akademgorodok, Novosibirsk, Russia
Stability of Discrete Sampled Systems
FTRTFT '00 Proceedings of the 6th International Symposium on Formal Techniques in Real-Time and Fault-Tolerant Systems
Automata and Binary Decision Diagrams
WIA '98 Revised Papers from the Third International Workshop on Automata Implementation
On-the-Fly Verification of Linear Temporal Logic
FM '99 Proceedings of the Wold Congress on Formal Methods in the Development of Computing Systems-Volume I - Volume I
Accumulator based deterministic BIST
ITC '98 Proceedings of the 1998 IEEE International Test Conference
Extracting gate-level networks from simulation tables
ITC '98 Proceedings of the 1998 IEEE International Test Conference
Towards Automated Proof of Fail-Safe Behaviour
SAFECOMP '98 Proceedings of the 17th International Conference on Computer Safety, Reliability and Security
A study of composition schemes for mixed apply/compose based construction of ROBDDs
VLSID '96 Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication
Formal Verification of Digital Systems
VLSID '97 Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
Formal Verification of Combinational Circuit
VLSID '97 Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
Some Recent Advances in Software and Hardware Logic Simulation
VLSID '97 Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
Decision Diagrams in Synthesis - Algorithms, Applications and Extensions
VLSID '97 Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications
VTS '98 Proceedings of the 16th IEEE VLSI Test Symposium
Equivalence Checking Combining a Structural SAT-Solver, BDDs, and Simulation
ICCD '00 Proceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors
Planar Multiple-Valued Decision Diagrams
ISMVL '95 Proceedings of the 25th International Symposium on Multiple-Valued Logic
Synthesis and Optimization of Programs by Means of P-Functions
IEEE Transactions on Computers
A Matrix Formalism for Asynchronous Implementation of Algorithms
IEEE Transactions on Computers
Synthesis and Asynchronous Implementation of Algorithms Using a Generalized P-Function Concept
IEEE Transactions on Computers
State-set branching: Leveraging BDDs for heuristic search
Artificial Intelligence
Electronic Notes in Theoretical Computer Science (ENTCS)
Artificial Intelligence
A Contribution to the Use of Decision Diagrams for Loading and Mining Transaction Databases
Fundamenta Informaticae - Special issue ISMIS'05
Low-power multi-core ATPG to target concurrency
Integration, the VLSI Journal
Specification and design considerations for reliable embedded systems
Proceedings of the conference on Design, automation and test in Europe
Multi-state Directed Acyclic Graphs
CAI '07 Proceedings of the 20th conference of the Canadian Society for Computational Studies of Intelligence on Advances in Artificial Intelligence
Cost-Bounded Binary Decision Diagrams for 0-1 Programming
CPAIOR '07 Proceedings of the 4th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
The Beginning of Model Checking: A Personal Perspective
25 Years of Model Checking
A Practical DPA Countermeasure with BDD Architecture
CARDIS '08 Proceedings of the 8th IFIP WG 8.8/11.2 international conference on Smart Card Research and Advanced Applications
Investigating data preprocessing methods for circuit complexity models
Expert Systems with Applications: An International Journal
A New Algorithm for Partitioned Symbolic Reachability Analysis
Electronic Notes in Theoretical Computer Science (ENTCS)
Program transformations using temporal logic side conditions
ACM Transactions on Programming Languages and Systems (TOPLAS)
Compiling Techniques for Coarse Grained Runtime Reconfigurable Architectures
ARC '09 Proceedings of the 5th International Workshop on Reconfigurable Computing: Architectures, Tools and Applications
HS-ROBDD: an efficient variable order binary decision diagram
Proceedings of the 11th Annual Conference Companion on Genetic and Evolutionary Computation Conference: Late Breaking Papers
REDEFINE: Runtime reconfigurable polymorphic ASIC
ACM Transactions on Embedded Computing Systems (TECS)
Design and implementation of S-MARKS: A secure middleware for pervasive computing applications
Journal of Systems and Software
Learning to order BDD variables in verification
Journal of Artificial Intelligence Research
AND/OR multi-valued decision diagrams (AOMDDs) for graphical models
Journal of Artificial Intelligence Research
Algebra of systems: a metalanguage for model synthesis and evaluation
IEEE Transactions on Systems, Man, and Cybernetics, Part A: Systems and Humans
Evaluating abductive hypotheses using an EM algorithm on BDDs
IJCAI'09 Proceedings of the 21st international jont conference on Artifical intelligence
Computation of signal output probability for Boolean functions represented by OBDD
Computers & Mathematics with Applications
Prediction of area and length complexity measures for binary decision diagrams
Expert Systems with Applications: An International Journal
Groebner bases computation in Boolean rings for symbolic model checking
MS '07 The 18th IASTED International Conference on Modelling and Simulation
MODELS '09 Proceedings of the 12th International Conference on Model Driven Engineering Languages and Systems
Self-healing and Hybrid Diagnosis in Cloud Computing
CloudCom '09 Proceedings of the 1st International Conference on Cloud Computing
Modeling Service Level Agreements with Binary Decision Diagrams
ICSOC-ServiceWave '09 Proceedings of the 7th International Joint Conference on Service-Oriented Computing
An efficient estimation of the ROBDD's complexity
Integration, the VLSI Journal
A constraint store based on multivalued decision diagrams
CP'07 Proceedings of the 13th international conference on Principles and practice of constraint programming
Conditional safety certificates in open systems
Proceedings of the 1st Workshop on Critical Automotive applications: Robustness & Safety
Dynamically resizable binary decision diagrams
Proceedings of the 20th symposium on Great lakes symposium on VLSI
Fault tolerance for embedded control system
ISCIT'09 Proceedings of the 9th international conference on Communications and information technologies
Evolvability via modularity-induced mutational focussing
EuroGP'08 Proceedings of the 11th European conference on Genetic programming
Fault tree analysis of software-controlled component systems based on second-order probabilities
ISSRE'09 Proceedings of the 20th IEEE international conference on software reliability engineering
Analysis of IEEE 802.15.4 sensor networks for event detection
GLOBECOM'09 Proceedings of the 28th IEEE conference on Global telecommunications
Towards using embedded magnetic field sensor for around mobile device 3D interaction
Proceedings of the 12th international conference on Human computer interaction with mobile devices and services
Partially-shared zero-suppressed multi-terminal BDDs: concept, algorithms and applications
Formal Methods in System Design
Knowledge-Based Systems
Detection of hard faults in a combinational circuit using budget constraints
ITC'88 Proceedings of the 1988 international conference on Test: new frontiers in testing
Quantifying the Degree of Self-Nestedness of Trees: Application to the Structural Analysis of Plants
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Variable compression in ProbLog
LPAR'10 Proceedings of the 17th international conference on Logic for programming, artificial intelligence, and reasoning
CADOC: a system for computed aided functional test
ITC'84 Proceedings of the 1984 international test conference on The three faces of test: design, characterization, production
Expression equivalence checking using interval analysis
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
P-Functions: a new tool for the analysis and synthesis of binary programs
IEEE Transactions on Computers
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Extracting hot spots of topics from time-stamped documents
Data & Knowledge Engineering
Manipulating MDD relaxations for combinatorial optimization
CPAIOR'11 Proceedings of the 8th international conference on Integration of AI and OR techniques in constraint programming for combinatorial optimization problems
Implicit permutation enumeration networks and binary decision diagrams reordering
Proceedings of the 48th Design Automation Conference
Decomposition of systems of Boolean functions determined by binary decision diagrams
Journal of Computer and Systems Sciences International
Ambipolar double-gate FET binary-decision- diagram (Am-BDD) for reconfigurable logic cells
NANOARCH '11 Proceedings of the 2011 IEEE/ACM International Symposium on Nanoscale Architectures
Evolving binary decision diagrams with emergent variable orderings
PPSN'06 Proceedings of the 9th international conference on Parallel Problem Solving from Nature
VSOP (valued-sum-of-products) calculator for knowledge processing based on zero-suppressed BDDs
Proceedings of the 2005 international conference on Federation over the Web
A symbolic search based approach for quantified boolean formulas
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Resolution tunnels for improved SAT solver performance
SAT'05 Proceedings of the 8th international conference on Theory and Applications of Satisfiability Testing
Estimation of the density of datasets with decision diagrams
ISMIS'05 Proceedings of the 15th international conference on Foundations of Intelligent Systems
Genetic algorithms for the variable ordering problem of binary decision diagrams
FOGA'05 Proceedings of the 8th international conference on Foundations of Genetic Algorithms
A design methodology for secured ICs using dynamic current mode logic
PATMOS'05 Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
BDD-Based hardware verification
SFM'06 Proceedings of the 6th international conference on Formal Methods for the Design of Computer, Communication, and Software Systems
Concurrency, Compositionality, and Correctness
PRIMA'11 Proceedings of the 14th international conference on Agents in Principle, Agents in Practice
Performance analysis of error-correcting binary decision diagrams
EUROCAST'11 Proceedings of the 13th international conference on Computer Aided Systems Theory - Volume Part II
ASE '11 Proceedings of the 2011 26th IEEE/ACM International Conference on Automated Software Engineering
Journal of Nanomaterials - Special issue on 1D Nanomaterials 2011
Survey: Linear Temporal Logic Symbolic Model Checking
Computer Science Review
Variable ordering for the application of BDDs to the maximum independent set problem
CPAIOR'12 Proceedings of the 9th international conference on Integration of AI and OR Techniques in Constraint Programming for Combinatorial Optimization Problems
Variational bayes inference for logic-based probabilistic models on BDDs
ILP'11 Proceedings of the 21st international conference on Inductive Logic Programming
Analysis of trivium using compressed right hand side equations
ICISC'11 Proceedings of the 14th international conference on Information Security and Cryptology
A Contribution to the Use of Decision Diagrams for Loading and Mining Transaction Databases
Fundamenta Informaticae - Special issue ISMIS'05
Solving compressed right hand side equation systems with linear absorption
SETA'12 Proceedings of the 7th international conference on Sequences and Their Applications
Techniques for SAT-based constrained test pattern generation
Microprocessors & Microsystems
Biconditional BDD: a novel canonical BDD for logic synthesis targeting XOR-rich circuits
Proceedings of the Conference on Design, Automation and Test in Europe
BDS-MAJ: a BDD-based logic synthesis tool exploiting majority logic decomposition
Proceedings of the 50th Annual Design Automation Conference
Conditional Safety Certification of Open Adaptive Systems
ACM Transactions on Autonomous and Adaptive Systems (TAAS)
The Propagation Approach for Computing Biochemical Reaction Networks
IEEE/ACM Transactions on Computational Biology and Bioinformatics (TCBB)
Multi-Core BDD Operations for Symbolic Reachability
Electronic Notes in Theoretical Computer Science (ENTCS)
Intraprocedural dataflow analysis for software product lines
Transactions on Aspect-Oriented Software Development X
Minimization of binary decision diagrams for systems of incompletely defined Boolean functions
Journal of Computer and Systems Sciences International
Sensitization criterion for threshold logic circuits and its application
Proceedings of the International Conference on Computer-Aided Design
BDD-based heuristics for binary optimization
Journal of Heuristics
Hi-index | 15.01 |
This paper describes a method for defining, analyzing, testing, and implementing large digital functions by means of a binary decision diagram. This diagram provides a complete, concise, "implementation-free" description of the digital functions involved. Methods are described for deriving these diagrams and examples are given for a number of basic combinational and sequential devices. Techniques are then outlined for using the diagrams to analyze the functions involved, for test generation, and for obtaining various implementations. It is shown that the diagrams are especially suited for processing by a computer. Finally, methods are described for introducing inversion and for directly "interconnecting" diagrams to define still larger functions. An example of the carry look-ahead adder is included.