Multilevel k-way hypergraph partitioning
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Hypergraph-Partitioning-Based Decomposition for Parallel Sparse-Matrix Vector Multiplication
IEEE Transactions on Parallel and Distributed Systems
Multilevel cooperative search: application to the circuit/hypergraph partitioning problem
ISPD '00 Proceedings of the 2000 international symposium on Physical design
Timing closure based on physical hierarchy
Proceedings of the 2002 international symposium on Physical design
Multilevel approach to full-chip gridless routing
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Why is Combinational ATPG Efficiently Solvable for Practical VLSI Circuits?
Journal of Electronic Testing: Theory and Applications
Efficient Join-Index-Based Spatial-Join Processing: A Clustering Approach
IEEE Transactions on Knowledge and Data Engineering
Optimality, scalability and stability study of partitioning and placement algorithms
Proceedings of the 2003 international symposium on Physical design
The Parallelization of a Knowledge Discovery System with Hypergraph Representation
IPDPS '00 Proceedings of the 15 IPDPS 2000 Workshops on Parallel and Distributed Processing
Using Recursive Decomposition to Construct Elimination Orders, Jointrees, and Dtrees
ECSQARU '01 Proceedings of the 6th European Conference on Symbolic and Quantitative Approaches to Reasoning with Uncertainty
A novel framework for multilevel routing considering routability and performance
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
An enhanced multilevel routing system
Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design
An Adaptive Interconnect-Length Driven Placer
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
A Fast Crosstalk- and Performance-Driven Multilevel Routing System
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Multi.Objective Hypergraph Partitioning Algorithms for Cut and Maximum Subdomain Degree Minimization
Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
Multilevel full-chip routing with testability and yield enhancement
Proceedings of the 2005 international workshop on System level interconnect prediction
Partitioning-based approach to fast on-chip decap budgeting and minimization
Proceedings of the 42nd annual Design Automation Conference
A novel framework for multilevel full-chip gridless routing
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Optimality and scalability study of existing placement algorithms
ASP-DAC '03 Proceedings of the 2003 Asia and South Pacific Design Automation Conference
Multilevel full-chip gridless routing considering optical proximity correction
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Net cluster: a net-reduction based clustering preprocessing algorithm
Proceedings of the 2006 international symposium on Physical design
Localized On-Chip Power Delivery Network Optimization via Sequence of Linear Programming
ISQED '06 Proceedings of the 7th International Symposium on Quality Electronic Design
A fast block structure preserving model order reduction for inverse inductance circuits
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
Partitioning-based decoupling capacitor budgeting via sequence of linear programming
Integration, the VLSI Journal
A Design-Driven Partitioning Algorithm for Distributed Verilog Simulation
Proceedings of the 21st International Workshop on Principles of Advanced and Distributed Simulation
Placement of 3D ICs with thermal and interlayer via considerations
Proceedings of the 44th annual Design Automation Conference
Module assignment for pin-limited designs under the stacked-Vdd paradigm
Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
A multilevel tabu search algorithm for the feature selection problem in biomedical data
Computers & Mathematics with Applications
MBARC: a scalable memory based reconfigurable computing framework for nanoscale devices
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Parallel multilevel algorithms for hypergraph partitioning
Journal of Parallel and Distributed Computing
Multi-level direct K-way hypergraph partitioning with multiple constraints and fixed vertices
Journal of Parallel and Distributed Computing
Automatic symbolic compositional verification by learning assumptions
Formal Methods in System Design
Proceedings of the conference on Design, automation and test in Europe
Efficient representation and analysis of power grids
Proceedings of the conference on Design, automation and test in Europe
Random stimulus generation using entropy and XOR constraints
Proceedings of the conference on Design, automation and test in Europe
Author Name Disambiguation for Citations Using Topic and Web Correlation
ECDL '08 Proceedings of the 12th European conference on Research and Advanced Technology for Digital Libraries
Three-dimensional Integrated Circuit Design
Three-dimensional Integrated Circuit Design
Personalized cluster-based semantically enriched web search for e-learning
Proceedings of the 2nd international workshop on Ontologies and information systems for the semantic web
Incremental clustering of dynamic data streams using connectivity based representative points
Data & Knowledge Engineering
Heuristic Methods for Hypertree Decomposition
MICAI '08 Proceedings of the 7th Mexican International Conference on Artificial Intelligence: Advances in Artificial Intelligence
Guiding global placement with wire density
Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
A Performance-Driven Circuit Bipartitioning Method Considering Time-Multiplexed I/Os
IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences
Custom topology rotary clock router with tree subnetworks
ACM Transactions on Design Automation of Electronic Systems (TODAES)
A study of asynchronous design methodology for robust CMOS-nano hybrid system design
ACM Journal on Emerging Technologies in Computing Systems (JETC)
A pre-placement net length estimation technique for mixed-size circuits
Proceedings of the 11th international workshop on System level interconnect prediction
FPGA placement using space-filling curves: Theory meets practice
ACM Transactions on Embedded Computing Systems (TECS)
Fast unified floorplan topology generation and sizing on heterogeneous FPGAs
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Hypergraph Cuts & Unsupervised Representation for Image Segmentation
Fundamenta Informaticae
A study of routability estimation and clustering in placement
Proceedings of the 2009 International Conference on Computer-Aided Design
A parallel preconditioning strategy for efficient transistor-level circuit simulation
Proceedings of the 2009 International Conference on Computer-Aided Design
Multi-level clustering for clock skew optimization
Proceedings of the 2009 International Conference on Computer-Aided Design
Interactive circuit diagram visualization
CGIM '08 Proceedings of the Tenth IASTED International Conference on Computer Graphics and Imaging
An analytical placer for mixed-size 3D placement
Proceedings of the 19th international symposium on Physical design
Automatic index construction for multimedia digital libraries
Information Processing and Management: an International Journal
Connectivity based stream clustering using localised density exemplars
PAKDD'08 Proceedings of the 12th Pacific-Asia conference on Advances in knowledge discovery and data mining
Efficient successor retrieval operations for aggregate query processing on clustered road networks
Information Sciences: an International Journal
Scalable formula decomposition for propositional satisfiability
Proceedings of the Third C* Conference on Computer Science and Software Engineering
Linear and quadratic programming approaches for the general graph partitioning problem
Journal of Global Optimization
Hypergraph-based multilevel matrix approximation for text information retrieval
CIKM '10 Proceedings of the 19th ACM international conference on Information and knowledge management
Efficient simulation of power grids
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems - Special section on the ACM IEEE international conference on formal methods and models for codesign (MEMOCODE) 2009
Combining multiple clusterings using similarity graph
Pattern Recognition
A pre-placement individual net length estimation model and an application for modern circuits
Integration, the VLSI Journal
Fast analysis of a large-scale inductive interconnect by block-structure-preserved macromodeling
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
CIARP'10 Proceedings of the 15th Iberoamerican congress conference on Progress in pattern recognition, image analysis, computer vision, and applications
A CAD framework for Malibu: an FPGA with time-multiplexed coarse-grained elements
Proceedings of the 19th ACM/SIGDA international symposium on Field programmable gate arrays
3D network-on-chip architectures using homogeneous meshes and heterogeneous floorplans
International Journal of Reconfigurable Computing - Special issue on selected papers from ReconFig 2009 International conference on reconfigurable computing and FPGAs (ReconFig 2009)
Thermal-aware cell and through-silicon-via co-placement for 3D ICs
Proceedings of the 48th Design Automation Conference
Comparing clustering and metaclustering algorithms
MLDM'11 Proceedings of the 7th international conference on Machine learning and data mining in pattern recognition
Hypergraph partitioning for the parallel computation of continuous Petri nets
PaCT'11 Proceedings of the 11th international conference on Parallel computing technologies
CLICOM: Cliques for combining multiple clusterings
Expert Systems with Applications: An International Journal
Hypergraph-Based Unsymmetric Nested Dissection Ordering for Sparse LU Factorization
SIAM Journal on Scientific Computing
Weighted adaptive neighborhood hypergraph partitioning for image segmentation
ICAPR'05 Proceedings of the Third international conference on Pattern Recognition and Image Analysis - Volume Part II
A segmentation algorithm for noisy images
CAIP'05 Proceedings of the 11th international conference on Computer Analysis of Images and Patterns
Computer Networks: The International Journal of Computer and Telecommunications Networking
A collaborative recommender system based on user association clusters
WISE'05 Proceedings of the 6th international conference on Web Information Systems Engineering
Cluster ensembles in collaborative filtering recommendation
Applied Soft Computing
Replicated partitioning for undirected hypergraphs
Journal of Parallel and Distributed Computing
Rapid Synthesis and Simulation of Computational Circuits in an MPPA
Journal of Signal Processing Systems
IR-drop reduction through combinational circuit partitioning
PATMOS'06 Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation
Learning-based symbolic assume-guarantee reasoning with automatic decomposition
ATVA'06 Proceedings of the 4th international conference on Automated Technology for Verification and Analysis
Voltage island-driven power optimization for application specific network-on-chip design
Proceedings of the great lakes symposium on VLSI
Offline Road Network Partitioning in Distributed Transportation Simulation
PADS '12 Proceedings of the 2012 ACM/IEEE/SCS 26th Workshop on Principles of Advanced and Distributed Simulation
Parallel computation of continuous Petri nets based on hypergraph partitioning
The Journal of Supercomputing
An Offline Road Network Partitioning Solution in Distributed Transportation Simulation
DS-RT '12 Proceedings of the 2012 IEEE/ACM 16th International Symposium on Distributed Simulation and Real Time Applications
Image ranking via attribute boosted hypergraph
PCM'12 Proceedings of the 13th Pacific-Rim conference on Advances in Multimedia Information Processing
Rethinking the wirelength benefit of 3-D integration
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Fast fixed-outline 3-D IC floorplanning with TSV co-placement
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Community-Based partitioning for MaxSAT solving
SAT'13 Proceedings of the 16th international conference on Theory and Applications of Satisfiability Testing
Hypergraph partitioning for the parallel computing of fuzzy differential equations
Fuzzy Sets and Systems
A direct method for optimal VLSI realization of deeply nested n-D loop problems
Microprocessors & Microsystems
An efficient and scalable family of algorithms for combining clusterings
Engineering Applications of Artificial Intelligence
Pairwise similarity for cluster ensemble problem: link-based and approximate approaches
Transactions on Large-Scale Data- and Knowledge-centered systems IX
Cad and routing architecture for interposer-based multi-FPGA systems
Proceedings of the 2014 ACM/SIGDA international symposium on Field-programmable gate arrays
Proceedings of the International Conference on Computer-Aided Design
ACM Journal on Emerging Technologies in Computing Systems (JETC)
Random walks in directed hypergraphs and application to semi-supervised image segmentation
Computer Vision and Image Understanding
Hi-index | 0.00 |
In this paper, we present a new hypergraph-partitioning algorithm that is based on the multilevel paradigm. In the multilevel paradigm, a sequence of successively coarser hypergraphs is constructed. A bisection of the smallest hypergraph is computed and it is used to obtain a bisection of the original hypergraph by successively projecting and refining the bisection to the next level finer hypergraph. We have developed new hypergraph coarsening strategies within the multilevel framework. We evaluate their performance both in terms of the size of the hyperedge cut on the bisection, as well as on the run time for a number of very large scale integration circuits. Our experiments show that our multilevel hypergraph-partitioning algorithm produces high-quality partitioning in a relatively small amount of time. The quality of the partitionings produced by our scheme are on the average 6%-23% better than those produced by other state-of-the-art schemes. Furthermore, our partitioning algorithm is significantly faster, often requiring 4-10 times less time than that required by the other schemes. Our multilevel hypergraph-partitioning algorithm scales very well for large hypergraphs. Hypergraphs with over 100 000 vertices can be bisected in a few minutes on today's workstations. Also, on the large hypergraphs, our scheme outperforms other schemes (in hyperedge cut) quite consistently with larger margins (9%-30%).