A deductive technique for diagnosis of bridging faults
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
Test set compaction algorithms for combinational circuits
Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design
A Heuristic Measure to Maximize Detected Faults per Test
Journal of Electronic Testing: Theory and Applications
On the Use of Fully Specified Initial States for Testing of Synchronous Sequential Circuits
IEEE Transactions on Computers
Static Test Compaction for Scan-Based Designs to Reduce Test Application Time
Journal of Electronic Testing: Theory and Applications - Special Issue on the 7th ASIAN TEST SYMPOSIUM, ATS-98
A synthesis procedure for flexible logic functions
Proceedings of the conference on Design, automation and test in Europe
An approach to test compaction for scan circuits that enhances at-speed testing
Proceedings of the 38th annual Design Automation Conference
On identifying don't care inputs of test patterns for combinational circuits
Proceedings of the 2001 IEEE/ACM international conference on Computer-aided design
Compact two-pattern test set generation for combinational and full scan circuits
ITC '98 Proceedings of the 1998 IEEE International Test Conference
Accumulator based deterministic BIST
ITC '98 Proceedings of the 1998 IEEE International Test Conference
A Partitioning and Storage Based Built-In Test Pattern Generation Method for Scan Circuits
ASP-DAC '02 Proceedings of the 2002 Asia and South Pacific Design Automation Conference
VLSID '03 Proceedings of the 16th International Conference on VLSI Design
Testing of Non-Isolated Embedded Legacy Cores and their Surrounding Logic
VTS '99 Proceedings of the 1999 17TH IEEE VLSI Test Symposium
On Static Test Compaction and Test Pattern Ordering for Scan Designs
ITC '01 Proceedings of the 2001 IEEE International Test Conference
Aliasing-Free Space and Time Compactions with Limited Overhead
ISQED '00 Proceedings of the 1st International Symposium on Quality of Electronic Design
STAR-ATPG: A High Speed Test Pattern Generator for Large Scan Designs
ITC '99 Proceedings of the 1999 IEEE International Test Conference
The Effects of Test Compaction on Fault Diagnosis
ITC '99 Proceedings of the 1999 IEEE International Test Conference
Test vector decomposition-based static compaction algorithms for combinational circuits
ACM Transactions on Design Automation of Electronic Systems (TODAES)
On test data volume reduction for multiple scan chain designs
ACM Transactions on Design Automation of Electronic Systems (TODAES)
On test generation for transition faults with minimized peak power dissipation
Proceedings of the 41st annual Design Automation Conference
Proceedings of the 41st annual Design Automation Conference
Test data compression technique using selective don't-care identification
Proceedings of the 2004 Asia and South Pacific Design Automation Conference
A New Approach to Test Generation and Test Compaction for Scan Circuits
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
On the Characterization of Hard-to-Detect Bridging Faults
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
The Accidental Detection Index as a Fault Ordering Heuristic for Full-Scan Circuits
Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
Dynamic Test Compaction for Bridging Faults
ISQED '05 Proceedings of the 6th International Symposium on Quality of Electronic Design
Path delay test compaction with process variation tolerance
Proceedings of the 42nd annual Design Automation Conference
A dynamic test compaction procedure for high-quality path delay testing
ASP-DAC '06 Proceedings of the 2006 Asia and South Pacific Design Automation Conference
Test compression for scan circuits using scan polarity adjustment and pinpoint test relaxation
Proceedings of the 2005 Asia and South Pacific Design Automation Conference
Reducing scan shifts using configurations of compatible and folding scan trees
Journal of Electronic Testing: Theory and Applications
On test data compression using selective don't-care identification
Journal of Computer Science and Technology
On test generation by input cube avoidance
Proceedings of the conference on Design, automation and test in Europe
Test vector chains for increased targeted and untargeted fault coverage
Proceedings of the 2008 Asia and South Pacific Design Automation Conference
Scan-in and Scan-out Transition Co-optimization Through Modelling Generalized Serial Transformations
Journal of Electronic Testing: Theory and Applications
Dynamic test compaction for a random test generation procedure with input cube avoidance
Proceedings of the 2009 Asia and South Pacific Design Automation Conference
A Novel ATPG Method for Capture Power Reduction during Scan Testing
IEICE - Transactions on Information and Systems
On Detection of Bridge Defects with Stuck-at Tests
IEICE - Transactions on Information and Systems
Definition and application of approximate necessary assignments
Proceedings of the 19th ACM Great Lakes symposium on VLSI
State persistence: a property for guiding test generation
Proceedings of the 19th ACM Great Lakes symposium on VLSI
Forward-looking reverse order fault simulation for n-detection test sets
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
On test generation with test vector improvement
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
On clustering of undetectable single stuck-at faults and test quality in full-scan circuits
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
A scalable method for the generation of small test sets
Proceedings of the Conference on Design, Automation and Test in Europe
Efficient Concurrent Self-Test with Partially Specified Patterns
Journal of Electronic Testing: Theory and Applications
Fault simulation and test generation for clock delay faults
Proceedings of the 16th Asia and South Pacific Design Automation Conference
Random test generation with input cube avoidance
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Improved SAT-based ATPG: more constraints, better compaction
Proceedings of the International Conference on Computer-Aided Design
Hi-index | 0.04 |
This paper presents new cost-effective heuristics for the generation of minimal test sets. Both dynamic techniques, which are introduced into the test generation process, and a static technique, which is applied to already generated test sets, are used. The dynamic compaction techniques maximize the number of faults that a new test vector detects out of the yet-undetected faults as well as out of the already-detected ones. Thus, they reduce the number of tests and allow tests generated earlier in the test generation process to be dropped. The static compaction technique replaces N test vectors by M