RAID: high-performance, reliable secondary storage
ACM Computing Surveys (CSUR)
Shade: a fast instruction-set simulator for execution profiling
SIGMETRICS '94 Proceedings of the 1994 ACM SIGMETRICS conference on Measurement and modeling of computer systems
MULTIMEDIA '94 Proceedings of the second ACM international conference on Multimedia
Object-oriented simulation of computer architectures using C++
SIGCSE '95 Proceedings of the twenty-sixth SIGCSE technical symposium on Computer science education
Enhancing generic skills in the computer organization course
SIGCSE '95 Proceedings of the twenty-sixth SIGCSE technical symposium on Computer science education
A case for two-level distributed recovery schemes
Proceedings of the 1995 ACM SIGMETRICS joint international conference on Measurement and modeling of computer systems
Fast discrete function evaluation using decision diagrams
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
Architectural partitioning of control memory for application specific programmable processors
ICCAD '95 Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design
The performance impact of incomplete bypassing in processor pipelines
Proceedings of the 28th annual international symposium on Microarchitecture
STOC '95 Proceedings of the twenty-seventh annual ACM symposium on Theory of computing
An educational tool for testing hierarchical multilevel caches
ACM SIGARCH Computer Architecture News
Architectural exploration and optimization of local memory in embedded systems
ISSS '97 Proceedings of the 10th international symposium on System synthesis
Functional simulation using binary decision diagrams
ICCAD '97 Proceedings of the 1997 IEEE/ACM international conference on Computer-aided design
The filter cache: an energy efficient memory structure
MICRO 30 Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture
MediaBench: a tool for evaluating and synthesizing multimedia and communicatons systems
MICRO 30 Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture
STAMPS: a state-machine based processor simulator
SIGCSE '97 Proceedings of the twenty-eighth SIGCSE technical symposium on Computer science education
Memory data organization for improved cache performance in embedded processor applications
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Explicit multi-threading (XMT) bridging models for instruction parallelism (extended abstract)
Proceedings of the tenth annual ACM symposium on Parallel algorithms and architectures
A Case for Two-Level Recovery Schemes
IEEE Transactions on Computers
Efficient Broadcast and Multicast on Multistage Interconnection Networks Using Multiport Encoding
IEEE Transactions on Parallel and Distributed Systems
What do exam results really measure?
Proceedings of the 2nd Australasian conference on Computer science education
Learning operating systems structure and implementation through the MPS computer system simulator
SIGCSE '99 The proceedings of the thirtieth SIGCSE technical symposium on Computer science education
Teaching computer architecture with a new superscalar processor emulator
ITiCSE '99 Proceedings of the 4th annual SIGCSE/SIGCUE ITiCSE conference on Innovation and technology in computer science education
A retargetable, ultra-fast instruction set simulator
DATE '99 Proceedings of the conference on Design, automation and test in Europe
Developing an architecture validation suite: application to the PowerPC architecture
Proceedings of the 36th annual ACM/IEEE Design Automation Conference
Proceedings of the seventh annual ACM-SIAM symposium on Discrete algorithms
Journal of VLSI Signal Processing Systems - Special issue on system level design
Safe timestamps and large-scale modeling
PADS '00 Proceedings of the fourteenth workshop on Parallel and distributed simulation
On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systems
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Introducing computer systems from a programmer's perspective
Proceedings of the thirty-second SIGCSE technical symposium on Computer Science Education
PSCP: a scalable parallel ASIP architecture for reactive systems
Proceedings of the conference on Design, automation and test in Europe
A VLSI implementation of the blowfish encryption/decryption algorithm
ASP-DAC '00 Proceedings of the 2000 Asia and South Pacific Design Automation Conference
Optimal acyclic fine-grain scheduling with cache effects for embedded and real time systems
Proceedings of the ninth international symposium on Hardware/software codesign
Instruction-level DFT for testing processor and IP cores in system-on-a-chip
Proceedings of the 38th annual Design Automation Conference
Ordering disks for double erasure codes
Proceedings of the thirteenth annual ACM symposium on Parallel algorithms and architectures
Facile: a language and compiler for high-performance processor simulators
Proceedings of the ACM SIGPLAN 2001 conference on Programming language design and implementation
Proceedings of the 38th annual Design Automation Conference
Experiments with list ranking for explicit multi-threaded (XMT) instruction parallelism
Journal of Experimental Algorithmics (JEA)
Hardware compilation of sequential ada
CASES '01 Proceedings of the 2001 international conference on Compilers, architecture, and synthesis for embedded systems
An efficient profile-analysis framework for data-layout optimizations
POPL '02 Proceedings of the 29th ACM SIGPLAN-SIGACT symposium on Principles of programming languages
Automatic generation of embedded memory wrapper for multiprocessor SoC
Proceedings of the 39th annual Design Automation Conference
Using the Alfa-1 simulated processor for educational purposes
Journal on Educational Resources in Computing (JERIC)
Apoo: an environment for a first course in assembly language programming
ACM SIGCSE Bulletin
Unifying memory and processor wrapper architecture in multiprocessor SoC design
Proceedings of the 15th international symposium on System Synthesis
Hardware implementation of the Ravenscar Ada tasking profile
CASES '02 Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems
An ultra-fast instruction set simulator
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Micro
Experiences in modeling and simulation of computer architectures in DEVS
Transactions of the Society for Computer Simulation International - Recent advances in DEVS methodology--part II
Dynamic Optimisation of Non-linear Feed Forward Circuits
ICES '00 Proceedings of the Third International Conference on Evolvable Systems: From Biology to Hardware
IPDPS '02 Proceedings of the 16th International Parallel and Distributed Processing Symposium
A PIM-based Multiprocessor System
IPDPS '01 Proceedings of the 15th International Parallel & Distributed Processing Symposium
Ship Hull Hydrodynamic Analysis Using Distributed Shared Memory
PARA '00 Proceedings of the 5th International Workshop on Applied Parallel Computing, New Paradigms for HPC in Industry and Academia
Experiments with List Ranking for Explicit Multi-Threaded (XMT) Instruction Parallelism
WAE '99 Proceedings of the 3rd International Workshop on Algorithm Engineering
A n-Bit Reconfigurable Scalar Quantiser
FPL '01 Proceedings of the 11th International Conference on Field-Programmable Logic and Applications
Proceedings of the 2002 ACM/IEEE conference on Supercomputing
The performance advantage of applying compression to the memory system
Proceedings of the 2002 workshop on Memory system performance
Improved indexing for cache miss reduction in embedded systems
Proceedings of the 40th annual Design Automation Conference
Pipelined Multi-Queue Management in a VLSI ATM Switch Chip with Credit-Based Flow-Control
ARVLSI '97 Proceedings of the 17th Conference on Advanced Research in VLSI (ARVLSI '97)
Rapid prototype of a hardware emulator for a SIMD processor array
EDTC '95 Proceedings of the 1995 European conference on Design and Test
Journal of Parallel and Distributed Computing
A high performance 32-bit ALU for programmable logic
FPGA '04 Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays
The dynamic compilation of lazy functional programs
Journal of Functional Programming
Performance Prediction for Parallel Iterative Solvers
The Journal of Supercomputing
Predicting the performance of parallel programs
Parallel Computing
On model checking data-independent systems with arrays without reset
Theory and Practice of Logic Programming
Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis
Platform-Based Testbench Generation
DATE '03 Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
Polynomial transformations and data-independent neighborhood functions
Discrete Applied Mathematics
A clocking technique for FPGA pipelined designs
Journal of Systems Architecture: the EUROMICRO Journal
Hyperplane Grouping and Pipelined Schedules: How to Execute Tiled Loops Fast on Clusters of SMPs
The Journal of Supercomputing
Fast incremental updates for pipelined forwarding engines
IEEE/ACM Transactions on Networking (TON)
Encyclopedia of Computer Science
Encyclopedia of Computer Science
Teaching computer organization and architecture using SystemC
Journal of Computing Sciences in Colleges
Zero cost indexing for improved processor cache performance
ACM Transactions on Design Automation of Electronic Systems (TODAES)
Exploiting forwarding to improve data bandwidth of instruction-set extensions
Proceedings of the 43rd annual Design Automation Conference
Systematic software-based self-test for pipelined processors
Proceedings of the 43rd annual Design Automation Conference
Applying parallel, dynamic-resolution simulations to accelerate VLSI power estimation
Proceedings of the 38th conference on Winter simulation
Failed disk recovery in double erasure RAID arrays
Journal of Discrete Algorithms
YESS: a Y86 pipelined processor simulator
ACM-SE 45 Proceedings of the 45th annual southeast regional conference
Software prefetching and caching for translation lookaside buffers
OSDI '94 Proceedings of the 1st USENIX conference on Operating Systems Design and Implementation
ACE '07 Proceedings of the ninth Australasian conference on Computing education - Volume 66
Computer architecture education at the University of Illinois: current status and some thoughts
WCAE-2 '96 Proceedings of the 1996 workshop on Computer architecture education
Using rapid prototyping in computer architecture design laboratories
WCAE-2 '96 Proceedings of the 1996 workshop on Computer architecture education
Using FPGA for computer architecture/organization education
WCAE-2 '96 Proceedings of the 1996 workshop on Computer architecture education
Teaching computer systems to majors: a MIPS based solution
WCAE '98 Proceedings of the 1998 workshop on Computer architecture education
Introducing computer architecture education in the first course of computer science career
WCAE '98 Proceedings of the 1998 workshop on Computer architecture education
Combining object-oriented design and computer architecture into a single senior-level course
WCAE '95 Proceedings of the 1995 workshop on Computer architecture education
Virtual machines as an aid in teaching computer concepts
WCAE '00 Proceedings of the 2000 workshop on Computer architecture education
Teaching processor architecture with a VLSI perspective
WCAE '02 Proceedings of the 2002 workshop on Computer architecture education: Held in conjunction with the 29th International Symposium on Computer Architecture
Using custom hardware and simulation to support computer systems teaching
WCAE '02 Proceedings of the 2002 workshop on Computer architecture education: Held in conjunction with the 29th International Symposium on Computer Architecture
On the design of a new CPU architecture for pedagogical purposes
WCAE '02 Proceedings of the 2002 workshop on Computer architecture education: Held in conjunction with the 29th International Symposium on Computer Architecture
MipsIt: a simulation and development environment using animation for computer architecture education
WCAE '02 Proceedings of the 2002 workshop on Computer architecture education: Held in conjunction with the 29th International Symposium on Computer Architecture
A lab course of computer organization
WCAE '02 Proceedings of the 2002 workshop on Computer architecture education: Held in conjunction with the 29th International Symposium on Computer Architecture
Combining learning strategies and tools in a first course in computer architecture
WCAE '03 Proceedings of the 2003 workshop on Computer architecture education: Held in conjunction with the 30th International Symposium on Computer Architecture
Multimedia components for the visualization of dynamic behavior in computer architectures
WCAE '03 Proceedings of the 2003 workshop on Computer architecture education: Held in conjunction with the 30th International Symposium on Computer Architecture
Didactic architectures and simulator for network processor learning
WCAE '03 Proceedings of the 2003 workshop on Computer architecture education: Held in conjunction with the 30th International Symposium on Computer Architecture
Teaching embedded systems with FPGAs throughout a computer science course
WCAE '04 Proceedings of the 2004 workshop on Computer architecture education: held in conjunction with the 31st International Symposium on Computer Architecture
Improving Instruction Set Architecture learning results
WCAE '04 Proceedings of the 2004 workshop on Computer architecture education: held in conjunction with the 31st International Symposium on Computer Architecture
WebMIPS: a new web-based MIPS simulation environment for computer architecture education
WCAE '04 Proceedings of the 2004 workshop on Computer architecture education: held in conjunction with the 31st International Symposium on Computer Architecture
An emulated computer with assembler for teaching undergraduate computer architecture
WCAE '05 Proceedings of the 2005 workshop on Computer architecture education: held in conjunction with the 32nd International Symposium on Computer Architecture
WCAE '06 Proceedings of the 2006 workshop on Computer architecture education: held in conjunction with the 33rd International Symposium on Computer Architecture
A pedagogically targeted logic design and simulation tool
WCAE '07 Proceedings of the 2007 workshop on Computer architecture education
Understanding cache hierarchy interactions with a program-driven simulator
WCAE '07 Proceedings of the 2007 workshop on Computer architecture education
An integrated approach to teaching computer systems architecture
WCAE '07 Proceedings of the 2007 workshop on Computer architecture education
WCAE '07 Proceedings of the 2007 workshop on Computer architecture education
Teaching computer organization/architecture by building a computer
WCAE '07 Proceedings of the 2007 workshop on Computer architecture education
Proceedings of the twenty-sixth annual ACM symposium on Principles of distributed computing
Compiler generation from structural architecture descriptions
CASES '07 Proceedings of the 2007 international conference on Compilers, architecture, and synthesis for embedded systems
Design of a pedagogical assembly language and classroom experiences
Journal of Computing Sciences in Colleges
Language engineering in the context of a popular, inexpensive robot platform
Proceedings of the 39th SIGCSE technical symposium on Computer science education
A low-power cache scheme for embedded computing
Journal of Embedded Computing - Issues in embedded single-chip multicore architectures
Tight RMR lower bounds for mutual exclusion and other problems
STOC '08 Proceedings of the fortieth annual ACM symposium on Theory of computing
Improving student performance using automated testing of simulated digital logic circuits
Proceedings of the 13th annual conference on Innovation and technology in computer science education
Context as Support for Learning Computer Organization
Journal on Educational Resources in Computing (JERIC)
Using PIC processors in computer organization
Journal of Computing Sciences in Colleges
Efficient code caching to improve performance and energy consumption for java applications
CASES '08 Proceedings of the 2008 international conference on Compilers, architectures and synthesis for embedded systems
Efficient data structures for sparse network representation
International Journal of Computer Mathematics - COMPLEX NETWORKS
Adaptive disk scheduling with workload-dependent anticipation intervals
Journal of Systems and Software
Undergraduate education in the computer system of software school, Fudan University
SCE '08 Proceedings of the 1st ACM Summit on Computing Education in China on First ACM Summit on Computing Education in China
On topology reconfiguration for defect-tolerant NoC-based homogeneous manycore systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems
Understanding complex multithreaded software systems by using trace visualization
Proceedings of the 5th international symposium on Software visualization
SSIP'05 Proceedings of the 5th WSEAS international conference on Signal, speech and image processing
An algorithm for deciding minimal cache sizes in real-time systems
Proceedings of the 13th annual conference on Genetic and evolutionary computation
Relative Cost Random Access Machines
Fundamenta Informaticae
Hi-index | 0.00 |